Está en la página 1de 40

Repblica Bolivariana de Venezuela Ministerio del Poder Popular para la Defensa Universidad Nacional Experimental Politcnica de la Fuerza Armada

Nacional Sede: Cuman Ncleo: Sucre Cuman, Estado Sucre U.N.E.F.A

Ctedra: Sistemas Digitales

Prof.: Ing. Mariluz Contreras Realizado por: Luis G. Lpez P. C.I.: 18.212.442 Mara J. Malave M. C.I.: 23.684.959

Cuman, 7 de Diciembre de 2011 Seccin: 01

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

NDICE 1. Anlisis y Diseo de Circuitos Combinacionales. . . . . . . . . . . . . . 4 1.1. 1.2. 1.3. Circuito Combinacional. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4 Anlisis de Circuito Combinacional. . . . . . . . . . . . . . . . . . . . . . 4 Diseo de Circuito Combinacional. . . . . . . . . . . . . . . . . . . . . . . 5

2. Sumadores. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6 2.1. Tipos de Sumadores. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7 2.2. Sumadores Binarios de 4 Bits. . . . . . . . . . . . . . . . . . . . . . . . . . . 7 2.3. Sumadores en Cascada. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9 3. Restadores. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9 3.1. Medio Restador. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10 3.2. Restador Completo. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 4. Binarios BCD. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12 4.1. Decimal Binario BCD. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 4.2. Decimal Conversin Binaria Codificacin BCD. . . . . . . . . . . .13 5. Multiplicador Binario. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15 5.1. Multiplicadores Binarios de Dos Bits. . . . . . . . . . . . . . . . . . . . 15 6. Comparador Binario. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16 6.1. Comparador de Magnitud. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 7. Codificadores y Decodificadores. . . . . . . . . . . . . . . . . . . . . . . . . . .18 7.1. Los Decodificadores. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 8. Demultiplexores. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24 8.1. Demultiplexor de 4 a 16 Lneas. . . . . . . . . . . . . . . . . . . . . . . . .26 8.2. Demultiplexores de gran numero de Lneas. . . . . . . . . . . . . . 27 9. Multiplexores. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 9.1. Tipos de Multiplexores. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 10. Implementacin de funciones lgicas con Multiplexores y Decodificadores. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

2 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

INTRODUCCIN En el presente tema, vamos a presentar los mecanismos y procedimientos para poder obtener el comportamiento, tanto lgico como temporal (en un grado no excesivamente preciso), de un circuito Combinacional. Los circuitos combinacionales son aquellos que no tienen en cuenta la variable tiempo. Estn formados por combinaciones de puertas lgicas. En este trabajo aprenderemos a disear circuitos sencillos a partir de los requerimientos de funcionamiento que se quiere que tengan. Hasta ahora el diseo de funciones lgicas, o de circuitos lgicos, se ha realizado mediante el uso exclusivo de puertas bsicas. Los circuitos que contienen estas puertas bsicas son conocidos como SSI (Small Scale of Integration) por que contienen un nmero pequeo de transistores. El diseo que se realiza con estos dispositivos se denomina CUSTOM. Un paso ms profundo en el diseo HARDWARE es realizar un diseo SEMICUSTOM, basado en el uso de bloques constructores ms complejos. Esto se puede hecer mediante el uso de sistemas o circuitos MSI (Medium Scale of Integration) dnde el nmero de puertas bsicas puede llegar a 100. Ms avanzados son los sistemas LSI (Large Scale of Integration ~1000), VLSI (Very Large Scale of Integration >1000), y ULSI (Ultra Large Scale of Integration > 100000) En un computador se realizan principalmente operaciones de codificacin y decodificacin de datos usando codificadores y decodificadores; transmisin y control de datos usando lneas de bus, multiplexadores y demultiplexadores; y circuitera aritmtica. procesado de datos mediante

3 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

Anlisis y diseo de circuitos combinacionales (sumadores, restadores, binario BCD entre otros).

Circuito Combinacional Un circuito Combinacional es aquel que est formado por funciones lgicas elementales (AND, OR, NAND, NOR, etc.), que tiene un determinado nmero de entradas y salidas, dependiendo los valores que toman las salidas exclusivamente de los que toman las entradas en ese instante. Ejemplo de este tipo de circuitos son: los codificadores, decodificadores, multiplexores, demultiplexores, comparadores, generadores-detectores de paridad, etc. Anlisis de circuitos Combinacionales En este punto se trata el anlisis de circuitos combinacionales a nivel de puertas lgicas. La estructura del circuito vendr dada por su diagrama lgico, cuyos constituyentes sern puertas lgicas cuyo comportamiento lo determina el smbolo que lo representa.

Circuito Combinacional Un circuito Combinacional se analiza determinando la salida de los elementos lgicos que lo constituyen (normalmente puertas lgicas), partiendo de las variables de entrada y avanzando en el sentido de la seal hacia la salida.

4 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

Diseo de Circuitos Combinacionales El diseo de circuitos combinacionales trata el problema inverso al anlisis: a partir de una especificacin inicial, se trata de determinar las ecuaciones booleanas (o tabla de verdad) que satisfaga dicha especificacin y, de estas, el esquema del circuito. Ejemplo: Se desea disear un sistema de aviso muy simple para un coche, que debe operar del siguiente modo: - Si el motor est apagado y las puertas abiertas, sonar una alarma - Si el motor est encendido y el freno de mano est puesto, tambin sonar la alarma. Las situaciones reales, motor encendido o apagado, puertas abiertas o cerradas, etc. pueden tratarse como variables binarias. Sean f,e,p tres variables binarias que indican f freno de mano. Toma el valor 1 si est puesto y 0 en caso contrario. p Puerta. Toma el valor 1 si alguna de las puertas del coche estn abiertas y 0 cuando todas las puertas estn cerradas. e encendido. Toma el valor 1 si el motor est arrancado, 0 si est apagado. La salida A puede considerarse tambin como una seal binaria, A, que toma dos valores posibles: Si A=1, la alarma se activa, si A=0, la alarma no se activa.

5 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

f 0 0 0 0 1 1 1 1

p 0 0 1 1 0 0 1 1

e 0 1 0 1 0 1 0 1

A 0 0 1 0 0 1 1 1

A partir de la tabla de verdad se pueden obtener la expresin suma de min trminos asociada: A = f p e + f p e + f p e +f p Que a su vez se puede implementar usando puertas lgicas.

Sumadores En electrnica un Sumador es un Circuito Lgico que calcula la operacin suma. En los computadores modernos se encuentran en lo que se denomina Unidad Aritmtico lgica (ALU). Generalmente realizan operaciones aritmticas en cdigo binario decimal o BCD exceso 3, por regla general los sumadores emplean el sistema binario. En los casos en los que se est empleando un complemento a dos para representar nmeros

6 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

negativos el sumador se convertir en un sumador-substractor (Addersubtracter). Tipos de Sumadores: Half-adder. Full-Adder. Carry-Look- Ahead. Carry-Select.

Sumadores binarios de 4 bits:

Las operaciones aritmticas se presentan con tal frecuencia que se han desarrollado un nmero de circuitos integrados especiales para llevarlas a cabo. El 74LS283 es un buen exponente de esta clase de dispositivos, siendo, en esencia, un sumador hexadecimal de 4 bits, Por lo tanto, acepta como entradas dos nmeros de 4 bits de cada uno, A y B, y un bit de acarreo previo, CO. Los 4 bits correspondientes al nmero A se conectan a las entradas Al, A2, A3 y A4. Las cuatro entradas del dato B se conecta de manera similar. El sumador genera como resultado un nmero de 4 bits correspondientes a la suma de los dos datos, A y B, adems de un bit de acarreo, C4. En la figura N2 se muestra la configuracin de pines del 74LS283.

7 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

Figura: Configuracin de pines del 74LS283 La operacin del circuito integrado puede describirse en forma resumida de la siguiente manera:

Si la suma de los dos datos de entrada ms el acarreo previo arroja un resultado entre O y 15, la suma aparecer en las salidas de suma y el bit de acarreo de salida, C4 se hace igual a cero. Si el resultado de la suma se sita entre 16 y 31, el bit de acarreo C4 se pone en 1 y las salidas correspondientes a los bits de suma se hacen iguales al valor del resultado menos 16. Observe que en el su mador de 4 bits, el bit de acarreo resultante posee un peso binario igual a 16.

Ejemplo: Suponga entradas a un sumador como el siguiente: A4A3A2A1= 01112 (716) B4B3B2B1 = 10102 (A16) CO=1 En este caso, la suma de los tres datos de entrada, 0111 + 1010 + 1 resulta ser igual 18. De acuerdo a las reglas anteriores, se produce un bit de acarreo igual 1 y las salidas adoptan un valor de 2 (esto es, 18 menos 16). Por lo tanto, C4 = 1 y 4 3 2 1=0010.

8 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

Sumadores en cascada

Es posible implementar sumadores para palabras de tamao superiores a 4 bits si se disponen varios 74LS283 en cascada. Para el efecto, basta simplemente con conectar la salida C4 del sumador de menor peso a la entrada CO del sumador siguiente. En la figura N 3 se muestra como se conectaran dos 74LS283 en cascada para con formar un sumador de 8 bits. Los dos sumadores se muestran recibiendo como datos a dos nmeros binarios de 8 bits cada uno cuyos valores son: A=11001010, B = 11100111, CO=0. El resultado de la operacin, mostrado tambin en la misma figura es 10110001 y C4= 1.+

Figura: Configuracin en cascada 74LS283 Restadores La sustraccin de dos nmeros binarios puede llevarse a cabo tomando el complemento del sustraendo y agregndolo al minuendo. Por este mtodo, la operacin de sustraccin, llega a ser una operacin de divisin que requiere sumadores completos para su implementacin en mquina. Es posible implementar la sustraccin con circuitos lgicos en una forma directa, como se hace con lpiz y papel.

9 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

Por este mtodo cada bit sustraendo del nmero se sustrae de su bit minuendo correspondiente significativo para formar un bit de diferencia. Si el bit minuendo es menor que el bit sustraendo, se toma un 1 de la siguiente posicin significativa. El hecho de que se ha tomado un 1 debe llevarse al siguiente par ms alto de bit mediante una seal binaria que llega de fuera (salida) de una etapa dada y va a (entrada) la siguiente etapa ms alta. En forma precisa as como hay medios sumadores y sumadores completos, hay medio restadores y restadores completos. Medio Restador

Un medio restador es un circuito combinacional que sustrae dos bits y produce su diferencia. Tambin tiene una salida para especificar si se ha tomado un 1. Se designa el bit minuendo por x y el bit sustraendo mediante y. Para llevar a cabo x - y, tienen que verificarse las magnitudes relativas de x y y. Si x > y se tienen tres posibilidades; 0 - 0 = 0, 1 - 0 = 1 y, 1 - 1 = 0. El resultado se denomina bit de diferencia. Si x < y. tenemos 0 - 1 y es necesario tomar un 1 de la siguiente etapa ms alta. El 1 que se toma de la siguiente etapa ms alta aade 2 al bit minuendo, de la misma forma que en el sistema decimal lo que se toma aade 10 a un dgito minuendo. Con el minuendo igual a 2, la diferencia llega a ser 2 - 1 = 1. El medio restador requiere dos salidas. Una salida genera la diferencia y se denotar por el smbolo D. La segunda salida, denotada B para lo que se toma, genera la seal binaria que informa a la siguiente etapa que se ha tomado un 1. La tabla de verdad para las relaciones de entrada-salida de un medio restador ahora puede derivarse como sigue: x y | B 0 0 0 0 1 1 1 0 0 1 1 0 D 0 1 1 0

La salida que toma B es un 0 en tanto que x > y. Es un l para x = 0 y y = 1. La salida D es el resultado de la operacin aritmtica 2B + x - y.

10 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

Las funciones booleanas para las dos, salidas del medio restador se derivan de manera directa de la tabla de verdad, D = x y + x y B = x y Es interesante observar que la lgica para D es exactamente la misma que la lgica para la salida S en el medio sumador. Restador completo

Un restador completo es un circuito Combinacional que lleva a cabo una sustraccin entre dos bits, tomando en cuenta que un 1 se ha tomado por una etapa significativa ms baja. Este circuito tiene tres entradas y dos salidas. Las tres entradas, x, y y z, denotan al minuendo, sustraendo y a la toma previa, respectivamente. Las dos salidas, D y B, representan la diferencia y la salida tomada, respectivamente. La tabla de verdad para el circuito es como sigue: x 0 0 0 0 1 1 1 y 0 0 1 1 0 0 1 z | 0 1 0 1 0 1 0 B 0 1 1 1 0 0 0 D 0 1 1 0 1 0 0

1 1 1

1 1

Los ocho renglones bajo las variables de entrada designan todas las combinaciones posibles de 1 y 0 que pueden tomar las variables binarias. Los 1 y 0 para las variables de salida estn determinados por la sustraccin de x - y - z. Las combinaciones que tienen salida de toma z = 0 se reducen a las mismas cuatro condiciones del medio sumador. Para x = 0,, y = 0 y z = 1, tiene que tomarse un 1 de la siguiente etapa, lo cual hace B = 1 y aade 2 a x. Ya que 2 - 0 - 1 = 1, D = 1. Para x = 0 y yz = 11, necesita tomarse otra vez, haciendo B = 1 y x = 2.

11 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

Ya que 2 - 1 - 1 = 0, D = 0. Para x = 1 y yz = 01, se tiene x - y - z = 0, lo cual hace B = 0 y D = 0. Por ltimo, para x = 1, y = l, z = 1, tiene que tomarse 1, haciendo B = 1 y x = 3 y, 3 - 1 - 1 = 1, haciendo D = 1.

Binarios BCD Los cdigos BCD nos permiten representar cada uno de los dgitos decimales (0,...,9) mediante 4 bits. El ms sencillo de los cdigos BCD es el BCD8421 o BCD natural, que consiste simplemente en representar cada dgito decimal por su binario equivalente. As tenemos

Ejemplo: Expresar e 937.2510 en BCD. 937.2510 = 1001 0011 0111 0010 0101BCD

12 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

Ejemplo: Expresar el nmero N= (10010110010111)BCD escrito en cdigo BCD8421, en decimal separando de LSB a MSB en grupos de 4: N=(10,0101,1001,0111)BCD = 259710 Decimal Binario BCD 395 112221211 001110010101. En el cdigo BCD: los cuatro primeros bits representan el 3.Los siguientes cuatro representan el 9 y los ltimos cuatro el 5. Es muy importante comprender la diferencia entre conversin de un nmero decimal binario y la codificacin binaria de un nmero decimal. En cada caso el resultado final es una seria de bits. Los bits obtenidos de la conversin son dgitos binarios. Los bits obtenidos de la codificacin son combinaciones de unos ceros arregladas de acuerdo a las reglas del cdigo usado. Por tanto es extremadamente importante tener en cuenta que una serie de unos y ceros en un sistema digital puede algunas veces representar un nmero binario y otras veces representar alguna otras cantidad discreta de informacin como se especifica en un cdigo binario dado. El cdigo BCD por ejemplo, ha sido escogido de tal manera que es un cdigo y una conversin binaria directa siempre y cuando los nmeros decimales sean algn entero entre 0 y 9. Para nmeros mayores que 9, la conversin y la codificacin son completamente diferentes. Este concepto es tan importante que vale la pena repetirlo usando otro ejemplo: la conversin binaria del decimal 13 es 1101; la codificacin decimal 13 con BCD es 00010011. Decimal Conversin Binaria Codificacin BCD 13 1101 00010011 El cdigo BCD es uno de los ms utilizados. Los otros cdigos de cuatro bits tienen una caracterstica en comn que no se encuentra en BCD. El exceso a 3, el 2, 4, 2, 1 y el 8, 4, -2, -1, son cdigos autocomplementarios, esto es que el complemento a 9 del nmero decimal se obtiene fcilmente cambiando los ms por ceros y los ceros por ms. Esta propiedad es muy til cuando se hacen las operaciones aritmticas internamente con nmeros decimales (en cdigo binario) y la sustraccin se hace por medio del complemento de 9.

13 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

El cdigo binguinario mostrado a continuacin es un ejemplo de un cdigo de siete dgitos con propiedades de deteccin de error. Cada dgito decimal consiste de 5 ceros y 2 unos colocados en las correspondientes columnas de carga. La propiedad de deteccin de error de este cdigo puede comprenderse si uno se da cuenta de que los sistemas digitales representan el binario 1 mediante una seal especfica uno y el binario cero por otra segunda seal especfica. Durante la trasmisin de seales de un lugar a otro puede presentarse un error. Uno o ms bits pueden cambiar de valor. Un circuito en el lado de recepcin puede detectar la presencia de ms (o menos) de dos unos y en el caso de que la combinacin permitida, se detectar un error.
Digito Decimal BCD 8421 Exceso a 3 84-2-1 2421 (Biguinario) 5043210

0 1 2 3 4 5 6 7 8 9

0 1 10 11 100 101 110 111 1000 1001

11 100 101 110 111 1000 1001 1010 1011 1100

0 111 110 101 100 1011 1010 1001 1000 1111

0 1 10 11 100 1011 1100 1101 1110 1111

0100001 0100010 0100100 0101000 0110000 1000001 1000010 1000100 1001000 1010000

14 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

Multiplicadores Binarios Un multiplicador binario es un circuito electrnico utilizado en electrnica digital, como un ordenado, para multiplicar dos nmeros binarios. Est construido con sumadores binarios. Una variedad de aritmtica computacional tcnicas se pueden utilizar para implementar un multiplicador digital. La mayora de las tcnicas de participacin de la informtica un conjunto de productos parciales, y luego sumando los productos parciales juntos. Este proceso es similar al mtodo de ensear a nios de primaria para la realizacin de la multiplicacin de largo en los base-10 enteros, pero se ha modificado aqu para su aplicacin a una base 2 (binario) sistema de numeracin. Multiplicador Binario De dos Bits

La multiplicacin de nmeros binarios se efecta igual que la de nmeros decimales. El multiplicando se multiplica por cada bit del multiplicador, comenzando por el bit menos significativo. Cada una de estas multiplicaciones forma un producto parcial. Los productos parciales sucesivos se desplazan una posicin a la izquierda. El producto final se obtiene sumando los productos parciales. Para ver cmo puede implementarse un multiplicador binario con un circuito Combinacional, consideremos la multiplicacin de dos nmeros de dos bits, como se muestra en la siguiente figura. Los bits del multiplicando son y , los bits del multiplicador son y , y el producto es . El primer producto parcial se forma multiplicando por . La multiplicacin de dos bits como y produce 1 si ambos bita son 1; de lo contrario, produce 0. Esto es idntico a la operacin AND como se indica en el diagrama. Por tanto, el producto parcial puede implementarse con compuertas AND como se indica en el diagrama. El segundo producto parcial se forma multiplicando por y se desplaza una posicin a la izquierda. Los dos productos parciales se suman con dos circuitos de semisumador (SS). Por lo regular, los productos parciales tienen ms bits, y ello obliga a usar sumadores completos para obtener la suma de los productos parciales. Debemos observar que el bit menos significativo del producto no tiene que pasar por un sumador porque se forma con la salida de la primera compuerta AND.

15 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

Operacin matemtica

Comparador binario Un circuito comparador combinatorio compara dos entradas binarias (A y B de n bits) para indicar la relacin de igualdad o desigualdad entre ellas por medio de "tres banderas lgicas" que corresponden a las relaciones A igual B, A mayor que B y A menor que B. Cada una de estas banderas se activara solo cuando la relacin a la que corresponde sea verdadera, es decir, su salida ser 1 y las otras dos producirn una salida igual a cero. Dentro de la familia de circuitos TTL se les denomina a estos circuitos con el nmero 7485 y manejan entradas de 4 bits, adems de que tambin se les puede conectar en cascada para manejar entradas ms grandes.
Comparadores de Magnitud

Son circuitos que comparan el valor binario de dos nmeros, proporcionando informacin de cul es mayor, menor, o si ambos son iguales. Son sistemas muy usados en ingeniera. Su bloque y tabla de funcionamiento bsico son los siguientes:

16 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales Existen comparadores de 4 bits y de 8 bits. Adems de las correspondientes entradas de datos disponen de tres entradas ms que pueden informar sobre una situacin anterior, y que se usan para conectar en cascada distintos comparadores, de manera que pueda construirse uno de mayor capacidad. Vemoslo con el comparador de 4 bits (7485):

Las Salidas del comparador se pueden deducir de las siguientes expresiones lgicas: (A>B) = (N A>N B) or [(N A=N B) and (Ain>Bin)] (A=B) = (N A=N B) and (Ain=Bin) (A<B) = (N A<N B) or [(N A=N B) and (Ain<Bin)]

Haciendo uso de esas entradas de comparacin anteriores, podemos disear un comparador de ms bits, por ejemplo de 8.

17 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales As se comparan primero los bits menos significativos A[0-3] y B[0-3], para con la informacin obtenida comparar los ms significativos; por ej. A: 0010 1100 y B: 0010 0100

La comparacin de los 4 bits menos significativos (1100 y 0100) activar la salida A>B del circuito de la izquierda y segn las ecuaciones anteriores, al cumplirse que n A=n B (0010 y 0010), la salida que debe activarse en el segundo comparador es A>B. Es fcil hacer esta comprobacin con todas las combinaciones posibles.

Codificadores y Decodificadores En un sentido general, se puede decir que un codificador es un circuito hecho para pasar informacin de un sistema a otro con clave diferente, y en tal caso un decodificador sera el circuito o dispositivo que retorne los datos o informacin al primer sistema. Debido a que el caso que nos ocupa es el de la lgica digital, y en especial la aritmtica binaria, hemos de dar sentido ms directo a los trminos "codificador" y "decodificador". Un codificador es un bloque Combinacional hecho para convertir una entrada no binaria en una salida de estricto orden binario. En otras palabras, es un circuito integrado por un conjunto de componentes electrnicos con la habilidad para mostrar en sus terminales de salida un word binario (01101, 1100, etc.), equivalente al nmero presente en sus entradas, pero escrito en un cdigo diferente. Por ejemplo, un Octal-tobinary encoder es un circuito codificador con ocho entradas (un terminal para cada dgito Octal, o de base 8) y tres salidas (un terminal para cada bit binario). Los codificadores pueden, tambin, proporcionar otras operaciones de conversin, tal como ocurre en las calculadoras de bolsillo con el teclado: El Keyboard (teclas, llaves) encoder convierte la posicin de cada tecla (No. 9, No. 3, No. 5, + , %, etc.) en su correspondiente word asignado previamente. Un ejemplo de lo anterior es el teclado codificador en ASCII (American Standard Code for Informacin Interchange), que genera el word de 7 bits 0100101 cuando es presionada la tecla del porcentaje (%). El decodificador es un circuito Combinacional diseado para convertir un nmero binario (entrada) en word de "unos" y "ceros"

18 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

(niveles altos y bajos de voltaje) con un orden distinto, para ejecutar un trabajo especial. En otras palabras, el word que sale es diferente al word que entr, aunque tenga la misma cantidad de bits. En Electrnica Digital es a menudo necesario pasar un nmero binario a otro formato, tal como el requerido para energizar los siete segmentos de los display hechos con diodos emisores de luz, en el orden adecuado para que se ilumine la figura de un individual nmero decimal. Los decodificadores son tambin usados en los microprocesadores para convertir instrucciones binarias en seales de tiempo, para controlar mquinas en procesos industriales o implementar circuitos lgicos avanzados. El decodificador convierte nmeros binarios en sus equivalentes Octales (base 8), decimales (base 10) y Hexadecimales. Los Decodificadores En el tema de los codificadores vimos en qu consista un codificador, es decir, explicbamos cmo pasar una informacin utilizada usualmente a una forma codificada que pueda entender nuestro ordenador. Seguidamente, describiremos el modo de realizar la funcin opuesta mediante los llamados decodificadores.

Decodificador bsico de dos entradas y cuatro salidascontruido a partir de compuertas NAND

En un sistema digital, como puede ser nuestro PC, se pueden transmitir tanto instrucciones como nmeros mediante niveles binarios o trenes de impulsos. Si, por ejemplo, los cuatro bits de un mensaje se disponen para transmitir rdenes, se pueden lograr 16 instrucciones

19 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

diferentes, esto es lo que denominbamos, informacin codificada en sistema binario. Otras veces nos interesa que un conmutador de varias posiciones pueda funcionar de acuerdo con este cdigo, es decir, para cada uno de los diecisis cdigos debe ser excitada una sola lnea. A este proceso de identificacin de un cdigo particular se le denomina decodificacin. Dicho de otra manera, un decodificador realiza la funcin opuesta a la de codificar, es decir, convierte un cdigo binario de varias entradas en salidas exclusivas. Podemos distinguir dos tipos bsicos de decodificadores: los excitadores y los no excitadores. En el primero de los casos tenemos, por ejemplo, aquellos cuya misin es convertir el cdigo BCD de sus entradas al formato de salida necesario para excitar un visualizador numrico o alfanumrico.

Decodificador de cuatro entradas y siete salidas del tipo no excitado Para entender el segundo de los tipos veamos algunos ejemplos de ellos. Tomemos un decodificador de dos entradas. Este har corresponder, a cada una de las cuatro palabras posibles de formar con las dos entradas, una de las salidas. Para la salida Y0, ser 1 cuando los bits de entrada A y B son 0. Luego, la expresin booleana que le corresponde es: Y0 = /A * /B. El mismo razonamiento se puede repetir para el resto de salidas.

Dentro de este mismo tipo de decodificadores tenemos el BCD a decimal. Supongamos que deseamos decodificar una instruccin BCD que represente un nmero dgito decimal, como puede ser el 5; esta operacin se puede llevar a cabo con una puerta AND de cuatro entradas excitadas por los cuatro bits BCD.

20 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

Por ejemplo, la salida de la puerta AND ser 1 si las entradas son 1, 0, 1, 0. Puesto que este cdigo representa el nmero decimal 5, la salida se seala como lnea 5. Si completamos un decodificador BCD a decimal, ste tendr cuatro entradas, normalmente denominadas A, B, C y D, y diez lneas de salida. Las entradas complementarias, /A, /B, /C y /D, se pueden obtener por medio de inversores dentro del propio integrado. Habitualmente, para su construccin se emplean puertas NAND y, por lo tanto, una salida es 0 si el cdigo resulta correcto en BCD y ser 1 para cualquier otro cdigo no vlido. A este sistema digital tambin se le denomina decodificador 4 a 10, indicando que una entrada de cuatro bits selecciona una de las diez lneas de salida. En este decodificador, los estados 1010, 1011, 1100, 1110, 1101 y 1111 no estn incluidos en el cdigo BCD, y se consideran como datos falsos de entrada producindose para todas ellas un 1 en todas las salidas, como se indic anteriormente. Luego, estamos ante un decodificador BCD a decimal con rechazo de datos falsos de entrada. Tambin se puede construir un decodificador BCD a decimal que no rechace los datos falsos de entrada. Este decodificador minimiza el nmero de entradas de las puertas NAND. As, por ejemplo, si se presentase en las entradas del decodificador la combinacin 1111, aparecera seal en las salidas 7 y 9. Es decir, se han tomado los datos de entrada falsos como condiciones opcionales. En muchas aplicaciones es deseable que la decodificacin se realice nicamente durante intervalos de tiempo especficos, de forma que sean rechazados los datos de entrada que no parezcan durante esos intervalos. Esto se consigue aadiendo una entrada denominada "strobe". Cuando esta seal es 1 se ejecuta la decodificacin y cuando es 0 se inhibe la decodificacin. Dependiendo de que el decodificador rechace o no los datos falsos, el modo de utilizar la seal de "strobe" debe ser distinto. Este tipo de circuito lo podemos observar en la figura correspondiente, donde la inhibicin para la decodificacin se logra mediante una entrada extra en cada puerta NAND del decodificador. Cuando esta entrada es 0 las salidas son todas 1 y no se permite la decodificacin.

21 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

Dentro del tipo de decodificadores excitadores podemos poner como ejemplo uno de los ms utilizados en la electrnica digital: el llamado decodificador excitador BCD - 7 segmentos. En la actualidad, se utilizan normalmente una serie de dispositivos de representacin visual fabricados a base de siete segmentos o barras independientes, mediante las cuales se pueden presentar los dgitos decimales. Estos segmentos pueden ser cristales lquidos, diodos LED, etc. Para excitar a estos dispositivos se han desarrollado toda una gama de decodificadores que reciben la informacin, procedente de un ordenador o de un aparato de medida, en cdigo BCD y entregan siete salidas preparadas para alimentar los siete segmentos que componen cada dgito decimal. Veamos la estructura de un decodificador excitador BCD-7 segmentos de los ms sencillos.

Tpica aplicacin de un decodificador conectado a un LED

Dado que el cdigo BCD permite hasta 16 combinaciones diferentes y slo se utilizan 10 para dgitos decimales y 5 para signos especiales, la combinacin que queda apaga todos los segmentos. Existe una entrada aadida a las de los cuatro bits del cdigo, que sirve para impedir o permitir la salida del decodificador una vez representadas las entradas. Por lo tanto, el decodificador ser un sistema combinacional de cinco entradas y siete salidas. Representamos la tabla de verdad correspondiente solamente a los diez dgitos decimales, teniendo en cuenta que la entrada de inhibicin o "strobe" siempre se encuentra a 1:

22 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

Entradas ABCD 0000 1000 0100 1100 0010 1010 0110 1110 0001 1001

abcdefg 1111110 0110000 1101101 1111001 0110011 1011011 0011111 1110000 1111111 1110011

SIGNO 0 1 2 3 4 5 6 7 8 9

A partir de esta tabla se pueden obtener todas las expresiones booleanas para la construccin de cada una de las salidas del cdigo de 7 segmentos.

La representacin visual de los diez dgitos decimales se suele realizar a travs del denominado cdigo de visualizacin de siete segmentos

La entrada de inhibicin se puede aplicar de diversas formas y en distintas etapas, segn convenga, para la realizacin fsica del circuito integrado.

23 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

Los decodificadores suelen ir conectados a las entradas de etapa de presentacin visual, como en el caso de la conexin de un cristal lquido

Hemos de tener presente que, segn el sistema de visualizacin utilizado en la informacin, har falta un tipo distinto de decodificador: siete segmentos, matrices de puntos, impresora, etc. Los circuitos combinatorios decodificadores se disearn, dependiendo de su complejidad, a partir de una memoria ROM.

Los Demultiplexores Una de las aplicaciones ms caractersticas de los decodificadores era su transformacin en los circuitos digitales denominados demultiplexores.

Un Demultiplexor consta de una entrada de datos, varias seales de control y las lneas de salida El demultiplexor es un circuito destinado a transmitir una seal binaria a una determinada lnea, elegida mediante un seleccionador, de entre las diversas lneas existentes. El dispositivo mecnico equivalente a un demultiplexor ser un conmutador rotativo unipolar, de tantas posiciones como lneas queramos seleccionar. El seleccionador determina el ngulo de giro del brazo del conmutador.

24 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

La analoga mecnica de un demultiplexor es un selector con una entrada y varias posiciones de salida

Un decodificador se convierte en un Demultiplexor aadindole una seal ms a su circuitera interna. Si se aplica esta seal, la salida ser el complemento de dicha seal, ya que la salida es 0 si todas las entradas son 1, y aparecer nicamente en la lnea seleccionada. Se puede aplicar a un Demultiplexor una seal de habilitacin o "enable", conectndose en cascada el decodificador con el circuito compuesto de una puerta AND y dos puertas NOT cuyas entradas son la seal de habilitacin y el dato que queremos transmitir. Si la entrada de habilitacin es 0, la salida ser el complemento del dato, es decir, que el dato aparecer en la lnea con el cdigo deseado. Si la entrada de "enable" es 1, la salida ser 0, se inhiben los datos en cualquier lnea y todas las entradas permanecen en 1. Veamos, de otra manera, en qu consiste la funcin de un circuito Demultiplexor. Estos son circuitos que realizan una funcin contraria a la de los multiplexores, es decir, tienen una nica entrada de datos que, mediante unas entradas de control, se pone en comunicacin con una de entre varias salidas de datos. La salida concreta seleccionada depende de la combinacin de valores lgicos presentada en las entradas de control. De la definicin ya se desprende que cualquier decodificador que excite slo una salida entre varias, y est provisto de entrada de inhibicin o "enable", puede utilizarse como Demultiplexor, ya que las entradas del

25 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

cdigo se pueden emplear como entradas de control y la seal de inhibicin como entrada de datos. Por el contrario, los decodificadores del tipo BCD a 7 segmentos que dan varias de sus salidas para cada combinacin de entrada, no pueden ser utilizados como demultiplexores.

En la prctica, no existen circuitos integrados demultiplexores, sino que se fabrican circuitos decodificadores/demultiplexores, que en realidad son decodificadores con entrada de inhibicin ("enable" o "strobe"). En la figura se muestra la construccin mediante puertas lgicas de un decodificador/demultiplexor de 2 a 4 lneas. A continuacin, veremos el funcionamiento de un decodificador como demultiplexor. Suponemos que se ha representado una combinacin de entradas, como por ejemplo 1 0 1, es decir, A /B C, y con ellas se selecciona la salida nmero 5. Cuando se ponga 1 en la entrada de "enable" se tendr 1 en la salida 5, y cuando se ponga 0 en la seal de "strobe" aparecer 0 en 5, es decir, que la salida sigue a la entrada de datos y sta es, precisamente, la funcin del demultiplexor. Dentro de los demultiplexores existen varios tipos caractersticos y utilizados dentro de nuestro PC. Describamos algunos de ellos. Demultiplexor de 4 a 16 lneas

Si un valor correspondiente a un nmero decimal que exceda de nueve se aplica a las entradas de un demultiplexor, la orden queda rechazada, por lo tanto, las diez salidas quedarn a 1. Si se desea seleccionar una de 16 lneas de salida, el sistema se ampliar aadiendo seis puertas NAND ms y se emplearn los 16 cdigos posibles con cuatro bits binarios.

26 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

El demultiplexor de 4 a 16 lneas tiene 4 lneas de seleccin, 16 de salida, una entrada de "enable", una entrada de datos, una toma de tierra y otra para la alimentacin, de modo que en total se precisa un encapsulado de 24 patillas. Tambin existen demultiplexores de 2 a 4 y 3 a 8 lneas encapsulados e integrados individuales. Un demultiplexor de 1 a 2 lneas se forma con dos puertas NAND de otras tantas entradas. La lnea de salida 0 proviene de la NAND, cuyas entradas son la de datos y la lnea A; mientras que la salida 1 est conectada a la NAND, cuyas entradas son la de datos y la seal A. Esta ltima entrada se denomina de control, ya que si A es 0, en la lnea 0 aparecer el complemento del dato. Demultiplexores de gran nmero de lneas

Si el nmero de salidas excede de 16 se emplean demultiplexores de 16, 8, 4 2 lneas, dispuestos formando una cascada para conseguir el nmero de salidas deseado.

Para construir un demultiplexor superior a 16 lneas, es necesario combinar los distintos tipos de multiplexor de 2, 4, 8 16 lneas. Este es el caso del multiplexor de 32 lneas Por ejemplo, para un demultiplexor de 32 lneas podemos emplear uno de cuatro lneas del que se ramifican cuatro demultiplexores de 8 lneas, como se indica en la figura correspondiente. Observemos que el nmero total de salidas es el producto del nmero de lneas de los cuatro multiplexores por el nmero de ellos, es decir, 4 * 8 = 32. Las lneas 0 a 7 se

27 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

decodifican en el primer demultiplexor, mientras que el segundo decodifica las ocho siguientes, y as sucesivamente. Para el valor de las seales de control del demultiplexor de cuatro lneas igual a 01, las lneas 8 a 15 se decodifican secuencialmente a medida que las seales de control A B C pasan desde 0 0 0 hasta 1 1 1. Por ejemplo, la lnea 12 se decodificar con la seleccin de todas las seales de control de los demultiplexores de cuatro y ocho lneas, con el siguiente resultado 0 1 1 0 0, que no es ms que la representacin binaria del nmero decimal 12.

Puesto que en un encapsulado hay dos demultiplexores de 2 a 4 lneas, para el sistema representado se necesitar el equivalente a 4,5 encapsulados. Este mismo sistema se puede lograr con un demultiplexor de 8 lneas y ocho de 4 lneas o con uno de 2 lneas y dos de 16. El diseo ms apropiado viene determinado por el coste total. Los Multiplexores Vamos a estudiar, en ste captulo, una serie de circuitos combinatorios relacionados con la transferencia de informacin; es decir, analizaremos la situacin de tener varias seales binarias a una red digital. Mediante una seal de control deseamos seleccionar una de las entradas y que sta aparezca a la salida. Haciendo una analoga elctrica, podemos comparar un multiplexor con un conmutador de varias posiciones, de manera que, situando el selector en una de las posibles entradas, sta aparecer en la salida.

28 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

Los multiplexores son circuitos combinacionales con varias entradas y una salida de datos, y estn dotados de entradas de control capaces de seleccionar una, y slo una, de las entradas de datos para permitir su transmisin desde la entrada seleccionada a la salida que es nica. La entrada seleccionada viene determinada por la combinacin de ceros (0) y unos (1) lgicos en las entradas de control. La cantidad que necesitaremos ser igual a la potencia de 2 que resulte de analizar el nmero de entradas. As, por ejemplo, a un multiplexor de 8 entradas le correspondern 3 de control. Podemos decir que la funcin de un multiplexor consiste en seleccionar una de entre un nmero de lneas de entrada y transmitir el dato de un canal de informacin nico. Por lo tanto, es equivalente a un conmutador de varias entradas y una salida.

Dentro de un multiplexor hay que destacar tres tipos de seales: los datos de entrada, las entradas de control y la salida El diseo de un multiplexor se realiza de la misma manera que cualquier sistema combinatorio desarrollado hasta ahora. Veamos, como ejemplo, el caso de un multiplexor de cuatro entradas y una salida que tendr, segn lo dicho anteriormente, dos entradas de control. Esta tabla de verdad define claramente cmo, dependiendo de la combinacin de las entradas de control, a la salida se transmite una u otra entrada de las cuatro posibles. As:

29 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

CONTROL AB 00 00 01 01 10 10 11 11

ENTRADAS DATOS I0 I1 I2 I3 0XXX 1XXX X0XX X1XX XX1X XXX0 XXX0 XXX1

SALIDA S 0 1 0 1 1 0 0 1

Si deducimos de esta tabla de verdad la expresin booleana que nos dar la funcin salida, tendremos la siguiente ecuacin: S = (/A*/B*I0) + (/A*B*I1) + (A*/B*I2) + (A*B*I3) Con la que podremos disear nuestro circuito lgico. La estructura de los multiplexores es siempre muy parecida a esta que hemos descrito, aunque a veces se aade otra entrada suplementaria de validacin o habilitacin, denominada strobe o enable que, aplicada a las puertas AND, produce la presentacin de la salida. Tipos de multiplexores

Dentro de la gran variedad de multiplexores que existen en el mercado, hay varios tipos que conviene destacar a causa de su gran utilidad en circuitos digitales, estos son: Multiplexor de 8 entradas. Multiplexor de 16 entradas. Doble multiplexor de 4 entradas.

30 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

Dentro del primer tipo podemos hacer la distincin entre tener la entrada de strobe o no. La tecnologa utilizada para su diseo es TTL, de alta integracin, y la potencia que disipan suele ser de unos 150 mW. El tiempo de retardo tpico es de unos 25 nanosegundos y tienen un "fan out" de 10. Normalmente, estos circuitos suelen darnos dos tipos de salida: una afirmada y la otra negada. En cuanto al segundo tipo de multiplexores, sealaremos que se diferencian de los primeros en el nmero de entradas, que es el doble, y que no existe la posibilidad de tener dos salidas, sino que slo podemos optar por la negada y, en consecuencia, a la salida nicamente se tendrn los datos de la entrada complementados. La potencia de disipacin para estos multiplexores viene a ser de aproximadamente unos 200 mW. El tiempo de retardo y el "fan - out" son ms o menos iguales que en el caso del multiplexor de 8 entradas.

Diagrama bsico de un multiplexor de 16 entradas y 2 seales de control

31 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

En la ilustracin correspondiente podemos ver un multiplexor de 16 entradas, donde, si hacemos 0 el strobe, en la salida se obtiene el dato negado de la entrada seleccionada mediante las cuatro entradas de control.

En el ltimo de los tipos, dentro del mismo encapsulado del circuito integrado, tenemos dos multiplexores de cuatro entradas de datos: dos de control y una seal de strobe cada uno.

Doble multiplexor de cuatro entradas donde las seales de control son comunes Las entradas de control son comunes para ambos multiplexores, como podemos ver en el circuito de la figura. Al igual que los anteriores, se suelen realizar con tecnologa TTL de alta integracin, y tienen una disipacin media de unos 180 mW. Con estos tres tipos de multiplexores trabajaremos habitualmente, incluso en el caso de tener que emplear algn otro de orden superior, es decir, con mayor nmero de entradas. Para ello, necesitaremos utilizar ms de un multiplexor de los descritos anteriormente.

32 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

Multiplexor de 32 entradas construido a partir de cuatro multiplexores de 8 entradas y uno de 4 entradas

La forma de conectarlos entre s depende de la aplicacin concreta de que se trate, pero siempre habr que disponer de ms de una etapa de multiplexores, lo cual acarrea un tiempo de retardo. As, por ejemplo, para seleccionar un dato de entre las 32 entradas de que disponemos, deberemos disear un sistema anlogo al representado en la figura correspondiente. El primer multiplexor de 8 entradas sita secuencialmente los datos de entrada I0 a I7 en la lnea de salida de ste, a medida que el cdigo de las seales de control va variando. Anlogamente, el segundo multiplexor, tambin de 8 entradas, transmitir los datos I8 a I15 a su lnea de salida, dependiendo de las seales de control.

33 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

Diagrama de conexin de un circuito integrado que contiene un multiplexor de 8 entradas y seal de <<strobe>>

Estas entradas de control estn unidas entre s de manera que cuando, por ejemplo, aparece en la lnea de salida del primer multiplexor I1, en la salida del segundo estar I9, en la del tercero I17 y en la del ltimo I25. Si queremos sacar a la salida del conjunto de multiplexores cualquiera de las lneas de salida anteriormente citadas, necesitaremos utilizar un multiplexor de 4 entradas y, con sus seales de control, activaremos la entrada que nosotros deseemos. As, por ejemplo, para tener en la salida final la lnea de entrada I1, habra que poner en el ltimo multiplexor de 4 entradas la combinacin 00 en sus seales de control. Por ltimo, destacaremos que los multiplexores, adems de seleccionar datos, tienen otras aplicaciones importantes, a saber: - La conversin paralelo - serie. Como puede ser conducir la salida en paralelo de un ordenador hacia un terminal remoto a travs de una lnea de transmisin serie. - La generacin de funciones para lgica combinatoria. Implementacin de Funciones Lgicas con Multiplexores As como los decodificadores, los Multiplexores son Circuitos integrados de Mediana Escala de Integracin (MSI), se pueden implementar funciones lgicas con ellos, ya que en su interior existen entre 100 y 999 compuertas lgicas. Para la implementacin de funciones Lgicas con Multiplexores se tendrn en cuenta dos casos, segn el nmero de entradas de seleccin de ste vs entradas de la funcin a implementar as:1. Empleo de Multiplexores de igual nmero de entradas de seleccin que variables de entrada de la funcin a implementar2. Empleo de Multiplexores con nmero inferior de entradas de seleccin que variables de entrada de la funcin a implementar Ejemplo: A partir de la siguiente expresin algebraica de la funcin F1, se explicar el procedimiento de diseo e implementacin de Funciones Lgicas mediante multiplexores as

34 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

F1= ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD Procedimiento Caso 1: Empleo de Multiplexores de igual nmero de entradas de seleccin que variables de entrada de la funcin a implementar A partir de la tabla de verdad de la Funcin F1 (Ver Tabla 45) se enumera en orden los pines de los datos de entrada del Multiplexor Si el Valor de F1 correspondiente a las combinaciones de entrada est en uno (1) se conecta a Vcc (5V).Si el Valor de F1 correspondiente a las combinaciones de entrada est en cero (0) se conecta a GND (Tierra).En la Figura 99 se puede observar el ejemplo del circuito, aqu se utiliza el CI74LS150.

Empleo de Multiplexores de igual nmero de entradas de seleccin que variables de entrada de la funcin a implementar.

35 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

Ejemplo Implementacin de Funciones Lgicas con Multiplexores de igual nmero de entradas de seleccin que variables de entrada de la funcin a implementar. Procedimiento Caso 2: Empleo de Multiplexores con nmero inferior de entradas de seleccin que variables de entrada de la funcin a implementar. Se Dibuja una Tabla (de minterminos Ver Tabla 46) que represente las posiciones en orden colocando los valores de la funcin a implementar (o Tabla de Verdad), que para el caso del ejemplo 1 la expresin algebraica de F1 est dada por una suma de productos donde la funcin vale uno. Es importante aclarar que esta tabla no corresponde al Mapa de Karnaugh. El Circuito implementado se puede ver en la figura100 (con CI 74LS151). En la Primera Columna y Primera Fila de la tabla 46 se pueden observar las variables de entrada de la Funcin, La ms significativa (A) se deja aparte y le correspondern los valores de 0 y 1 (Columna 1 filas 2 y 3), las dems variables menos significativas (BCD) correspondern a las combinaciones (Fila 1 Columnas de la 2 hasta la 6). En la tercera fila de la Tabla se colocaran en orden los Pines de Entrada del Multiplexor. En la Cuarta Fila se indicar a qu conectar as:

36 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

Si los dos valores correspondientes al pin de entrada de datos (Columna del Dato) del Multiplexor (Filas 1 y 2) estn en cero (0) se conecta a GND (Tierra). Si los dos valores correspondientes al pin de entrada de datos (Columna del Dato) del Multiplexor (Filas 1 y 2) estn en uno (1) se conecta a GND (Tierra). Si el Bit de la fila 2 est en uno (1) y el de la fila 2 est en cero (0) correspondientes alpin de entrada de datos (Columna del Dato), se conectar a A. Si el Bit de la fila 2 est en cero (0) y el de la fila 2 est en uno (1) correspondientes al pin de entrada de datos (Columna del Dato), se conectar a A.

Tabla de Verdad Ejemplo Implementacin de Funciones Lgicas con Decodificador.

37 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

Ejemplo Implementacin de Funciones Lgicas Empleo de Multiplexores con nmero inferior de entradas de seleccin que variables de entrada de la funcin a implementar

38 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

CONCLUSIN

Los circuitos considerados hasta aqu, tienen la caracterstica de que su salida depende solamente de la combinacin presente de valores de las entradas, es decir, a una misma combinacin de entrada responden siempre con la misma salida. Debido a esto, estos circuitos se denominan combinacionales. Los circuitos combinacionales tienen muchas limitantes debido a que no son capaces de reconocer el orden en que se van presentando las combinaciones de entradas con respecto al tiempo, es decir, no pueden reconocer una secuencia de combinaciones, ya que no poseen una manera de almacenar informacin pasada, es decir no poseen memoria. Haciendo una analoga elctrica, podemos comparar un multiplexor con un conmutador de varias posiciones, de manera que, situando el selector en una de las posibles entradas, sta aparecer en la salida. En el tema de los codificadores vimos en qu consista un codificador, es decir, explicbamos cmo pasar una informacin utilizada usualmente a una forma codificada que pueda entender nuestro ordenador.

39 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

Sistemas Digitales Anlisis y Diseo de Circuitos Combinacionales

BIBLIOGRAFIA

Floy, Thomas L. Fundamentos de Sistemas Digitales Nelson, Vctor P. Anlisis y Diseo de Circuitos Lgicos Digitales Taub, Hebert. Circuitos Digitales y Microprocesadores Tocci. Sistemas Digitales Principios y Aplicaciones Palmer, James E. Introduccin a los Sistemas Digitales Wakerly. Diseo Digital Principios y Prcticas. Tokheim, Roger. Principios Digitales. BIBLIOGRAFIA ELECTRONICA (http://fit.um.edu.mx/jorgemp/) Pgina oficial del curso (http://www.ti.com/) Pgina de Texas Instruments, fabricante de circuitos integrados, donde se encuntran las hojas de datos de todos los circuitos integrados a usar en el curso. (http://zeus.uam.mx/labre/sistemas_digitales.htm) sobre electrnica digital (http://www.elo.utfsm.cl/~lsb/elo211/elo211.html) Pgina de la ctedra de Sistemas Digitales de otra universidad (http://usuarios.lycos.es/bnunez/circuitos_digitales.htm) Pgina de otra ctedra semejante (http://www.gsd.ece.buap.mx/index.html) Grupo de sistemas digitales de la UAP (http://olmo.pntic.mec.es/~jmarti50/digital/) Prcticas de electrnica digital (http://www.electronicagratis.com/index.php) Sitio para aficionados en electrnica y todo gratis, muy bueno. Pgina interesante

40 Universidad Nacional Experimental Politcnica de la Fuerte Armada Nacional

También podría gustarte