Está en la página 1de 14

ELECTRÓNICA DIGITAL

ELABORADO POR:

DUVAN GUILLERMO MONTAÑO MOSQUERA

CC. 1004189765

GRUPO_243004_40

PRESENTADO A.

TUTOR-

JORGE EDISON MORA ARROYO

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA UNAD

FASE -0 ACTIVIDAD PRE-SABERES

PALMIRA VALLE DEL CAUCA

2023
Introducción

En este documento vas a encontrar la forma básica de como de la electrónica digital nos
ayuda el diseño digital dando como resultado en su primera actividad la aparición del
programa online EDA PLAYGROUND donde aprenderemos a usar comandos de diseño
compilación y simulador de Verilog, podemos observar ejemplos de ellos en este caso
estamos trabajaremos con VHDL.

VHDL (VHSIC Hardware Description Language) es un lenguaje de descripción de


hardware ampliamente utilizado en la industria para diseñar sistemas digitales. Proporciona
una forma precisa y eficiente de describir el comportamiento y la estructura de un circuito
digital, lo que facilita la simulación, la verificación y la síntesis de circuitos electrónicos.

En la electrónica digital, los circuitos pueden variar desde simples compuertas lógicas hasta
sistemas complejos como microprocesadores y FPGA (Field-Programmable Gate Arrays).
VHDL permite describir todos estos niveles de complejidad. Aquí hay un resumen de los
conceptos clave de la electrónica digital y cómo VHDL se relaciona con ellos:

Señales Digitales: En la electrónica digital, se trabaja con señales que pueden tomar solo
dos valores: 0 (bajo) y 1 (alto). Estas señales se utilizan para representar información y
realizar operaciones lógicas.

Compuertas Lógicas: Las compuertas lógicas son bloques de construcción fundamentales


de los circuitos digitales. Realizan operaciones lógicas básicas como AND, OR y NOT.

VHDL como Lenguaje de Descripción de Hardware: VHDL permite describir circuitos


digitales en términos de su comportamiento y estructura. Se utiliza para modelar cómo
funcionan los circuitos y cómo se interconectan los componentes.
Objetivos
Diseño y Desarrollo Preciso: VHDL permite a los ingenieros de electrónica digital diseñar
circuitos con un alto grado de precisión. Los diseñadores pueden describir no solo cómo
debe comportarse un circuito, sino también cómo está estructurado internamente. Esto
facilita la traducción de conceptos de diseño en implementaciones concretas.

Simulación y Verificación Eficaces: Uno de los objetivos fundamentales de VHDL es la


simulación y verificación de circuitos digitales antes de su implementación física. Los
diseñadores pueden usar herramientas de simulación VHDL para evaluar el
comportamiento de un diseño en condiciones variadas y verificar que cumple con los
requisitos funcionales y de rendimiento.

Reutilización de Diseños: VHDL fomenta la reutilización de diseños previos. Los módulos


y componentes diseñados en VHDL pueden incorporarse en proyectos futuros, lo que
ahorra tiempo y recursos en el desarrollo de sistemas digitales complejos.

Síntesis para Implementación Física: VHDL se utiliza en la síntesis de hardware, que es el


proceso de traducir una descripción VHDL en un diseño físico que se puede implementar
en chips FPGA (Field-Programmable Gate Arrays) o ASIC (Application-Specific
Integrated Circuits). Este proceso es esencial para convertir conceptos de diseño en
productos reales.

Diseño Jerárquico: VHDL permite el diseño jerárquico, lo que significa que los circuitos
pueden construirse a partir de bloques más pequeños o módulos. Esto facilita la gestión de
la complejidad en diseños grandes y permite la colaboración entre equipos de diseño.
Desarrollo De La Actividad Fase 0

(a)Describa en forma concisa la principal diferencia entre las cantidades analógicas y


digitales.

Rpta// Cantidades Analógicas: Están representadas de manera continua y pueden tener un


número infinito de valores dentro de un rango específico. Por ejemplo, una señal de audio o
una temperatura medida con un termómetro de mercurio. En este caso, los valores varían de
manera suave y continua.

Cantidades Digitales: Están representadas en forma discreta mediante valores numéricos


específicos. Tienen un conjunto finito de valores discretos. Ejemplos incluyen números
enteros, datos binarios (0 y 1), o cualquier tipo de información que se pueda cuantificar en
valores discretos, como los píxeles en una imagen digital. La información se representa en
forma de números discretos en lugar de una señal continua.

(b) ¿Cuáles de las siguientes cantidades son analógicas y cuáles son digitales?

Rpta//Un interruptor de diez posiciones: Digital. Un interruptor de diez posiciones tiene


diez estados discretos diferentes, lo que lo hace digital, ya que solo puede estar en una de
esas posiciones a la vez.

La corriente que fluye a través de un contacto eléctrico: Analógica. La corriente


eléctrica puede variar de manera continua dentro de un rango determinado, por lo que es
una cantidad analógica.

La temperatura de una habitación: Analógica. La temperatura en una habitación puede


variar de manera continua en una escala, lo que la hace una cantidad analógica. Puede
medirse con valores precisos como 21.5°C o 22.3°C, y puede tener cualquier valor dentro
de un rango.

El medidor de combustible de un automóvil: Analógico o Digital. Esto depende del tipo


de medidor de combustible en el automóvil. Los medidores de combustible tradicionales
que utilizan una aguja para indicar el nivel de combustible son analógicos, ya que la aguja
se mueve de manera continua. Sin embargo, los medidores de combustible digitales
muestran la cantidad de combustible en dígitos discretos, lo que los hace digitales. Por lo
tanto, esta cantidad puede ser tanto analógica como digital según el diseño del medidor en
el automóvil.

• Un interruptor de diez posiciones.

• La corriente que fluye a través de un contacto eléctrico.

• La temperatura de una habitación.

• El medidor de combustible de un automóvil.

2. Contexto (a)Objetivo: Contextualizar el curso de Electrónica Digital Video:

https://youtu.be/fVa9J9p6lu4?list=UUwM51xVkAa6NmzjUXg1jEgQ 2

• Describa con sus propias palabras qué es una compuerta lógica y que función cumple en
el diseño de los circuitos digitales

Rpta// Una compuerta lógica es un componente fundamental en la electrónica digital que


realiza operaciones lógicas en señales binarias, generalmente representadas como 0
(apagado) y 1 (encendido)

La función principal de una compuerta lógica en el diseño de circuitos digitales es tomar


una o más señales de entrada binarias y generar una señal de salida basada en una operación
lógica específica. Hay varias compuertas lógicas, cada una con una función lógica única

• ¿Qué es descripción de hardware HDL y nombre algunos lenguajes de descripción de


hardware?

Rpta//La Descripción de Hardware HDL, por sus siglas en inglés, Hardware Description
Language es un lenguaje de programación especializado utilizado para describir y diseñar
circuitos electrónicos y sistemas digitales. En lugar de escribir código de software, como en
lenguajes de programación convencionales.

VHDL (VHSIC Hardware Description Language): Es uno de los lenguajes de


descripción de hardware más antiguos y ampliamente utilizados. Fue desarrollado por el
Departamento de Defensa de los Estados Unidos y se utiliza en una amplia variedad de
aplicaciones, desde diseño de circuitos integrados hasta sistemas embebidos.

Verilog: Es otro lenguaje de descripción de hardware muy popular. Tiene una sintaxis
similar a la de los lenguajes de programación convencionales y es ampliamente utilizado en
la industria de semiconductores para el diseño de circuitos integrados.

SystemVerilog: Es una extensión del lenguaje Verilog y agrega características más


avanzadas para la verificación y el diseño de sistemas complejos.

• ¿Qué es una FPGA?

Una FPGA es un tipo de dispositivo electrónico programable que se utiliza en electrónica


digital y diseño de sistemas digitales. Se diferencia de los circuitos integrados tradicionales,
que son fijos y tienen una función específica, en que las FPGA son configurables y pueden
reprogramarse para realizar una amplia variedad de tareas digitales.

Compuerta Esquemático Tabla De verdad Operación


Lógica Lógica

AND Entrad Entrada Salida C= A . B


aA B Lógica
0 0 0
0 1 0
1 0 0
1 1 1
OR Entrad Entrada Salida Q= A +B
aA B Lógica
0 0 0
0 1 1
1 0 1
1 1 1
NOT Entrad Entrada Salida Q=Q
aA B Lógica
0 0 0
0 1 0
1 0 0
1 1 1

NAND Entrad Entrada Salida Q= A∗B


aA B Lógica
0 0 1
0 1 1
1 0 1
1 1 0

NOR Entrad Entrada Salida Q= A +B


aA B Lógica
0 0 1
0 1 0
1 0 0
1 1 0
XOR Entrad Entrada Salida
aA B Lógica Q=( A∗B)+(B∗A)
0 0 0
0 1 1
1 0 1
1 1 0
XNOR Entrad Entrada Salida
aA B Lógica Q=( A∗B)+ ( A+ B )
0 0 1
0 1 0
1 0 0
1 1 1

3. Registro y prueba del software EdaPlayGround:

Explicación de la ventana Desing que es la que contiene la arquitectura del hardware


Implementación de las líneas Explicación
de líneas
De las
líneas 2 a 5,
nombre
completo
del
estudiante,
cédula de
identidad,
fecha y
nombre del
lugar de
trabajo.
Líneas 7 a
10, las
librerías
están
ocupadas.
Línea 12:
Comienza el
nombre del
identificado
r. Las líneas
13 a 15
declaran las
entradas A
y B y las
salidas B
del
proyecto,
donde in
indica las
entradas y
out indica la
salida. En la
línea 18, la
puerta AND
y el nombre
de la
identidad.
La línea 19
comienza la
descripción
de la
arquitectura
que se
describe en
la línea 25.
¿Qué es?
Una salida
(Q) asigna
una puerta
AND a la
entrada A y
una puerta
B a otra
entrada. La
línea 27
finaliza la
arquitectura.

Explicación de la ventana testbench para las señales de la simulación de la arquitectura en


dising.
Implementación de las líneas Explicación
de líneas
Línea 1 a 2
cargan la
biblioteca.
La línea 4
crea la
entidad
Phase1 para
asociar la
señal de
diseño con
el archivo
Phase1. Las
líneas 10 a
13 llevan
los puertos
declarados
en el
diseño, es
decir, A y B
para entrada
y Q para
salida. Las
líneas 18 a
33
producen
las señales
de entrada
A y B, las
señales de
salida Q,

A declarada
se asigna
como A, B
se asigna
como B y Q
se asigna
como Q. En
las líneas
36 a 42
tenemos las
entradas de
estimulació
nA
asignadas a
0yB
asignadas a
0 con un
tiempo de
100 ns. en
las líneas
40 a 42, la
entrada de
estimulació
n A se
establece en
0 y B se
establece en
1 con un
tiempo de
100 ns. En
las líneas
49 a 51, la
entrada de
estimulació
n A se
establece en
1 y B se
establece en
0 con un
tiempo de
100 ns. En
las líneas
53 a 55, la
entrada de
estimulació
n A se
establece en
1 y B se
establece en
1 con un
tiempo de
100 ns. En
los senderos
57 al 60
final del
proceso de
la Etapa 1
Referencias bibliográficas

 Muñoz, J. (2012). Introducción a los Sistemas Digitales: Un enfoque usando


Lenguajes de Descripción de Hardware. (Capítulos 1, 2 y 3, pp. 1-17,19-33.37-63).
Madrid. https://openlibra.com/en/book/introduccion-a-los-sistemas-digitales#details
 Maxinez, D. (2015). Programación de sistemas digitales con VHDL. (Capítulo 1,
pp.1-21). https://elibro-net.bibliotecavirtual.unad.edu.co/es/ereader/unad/39460?
page=1
 Rodríguez, O. (2018). Sistemas embebidos con VHDL. Editorial Parmenia,
Universidad La Salle México. (Capítulo 1, pp.55-77). https://elibro-
net.bibliotecavirtual.unad.edu.co/es/ereader/unad/183494?page=129

También podría gustarte