Está en la página 1de 24

UNIVERSIDAD NACIONAL DE SAN AGUSTÍN

DEPARTAMENTO ACADÉMICO DE INGENIERIA ELECTRICA


ESCUELA PROFESIONAL DE INGENIERÍA ELÉCTRICA
LABORATORIO DE SISTEMAS DIGITALES I

ESCUELA PROFESIONAL DE: INGENIERIA ELECTRICA

LABORATORIO DE: SISTEMAS DIGITALES

EXPERIENCIA N°: 7

TÍTULO DE LA EXPERIENCIA

CIRCUITOS FUNCIONALES COMBINACIONALES

ALUMNO
LAUREANO MENA JHON

CUI GRUPO SUB-GRUPO


20192206 B A

FECHA DE
FECHA DE REALIZACION
PRESENTACION
19/12/2022 19/12/2022

REVISIÓN REVISIÓN INFORME


NOTA
INFORMACION PREVIA FINAL

NOVIEMBRE 2022 - B
EXPERIENCIA Nº 07

CIRCUITOS FUNCIONALES COMBINACIONALES

I.- OBJETIVO:
Diseñar circuitos que solucionen problemas de ingeniería utilizando circuitos combinacionales
con criterio adecuado y responsabilidad ambiental.

II.- INTRODUCCION TEORICA:


Circuitos combinacionales son aquellos circuitos donde las salidas dependen únicamente de las
entradas y la combinación entre ella, no están gobernadas por clock ni tienen memoria.

III. INDICACIONES DEL LABORATORIO:


En este laboratorio se evalúa una las competencias fundaménteles del curso, que es el diseño de
circuitos combinacionales para soluciones de problemas reales. Para esto se hará el análisis,
diseño, simulación e implementación de los circuitos propuestos.

IV.- ELEMENTOS A UTILIZAR:


Equipos:
- Multimetros
- Osciloscopio
- Puntas de prueba
- Protoboard
- Cables conectores

Dispositivos:
- 3 compuertas AND de dos entradas,
- 1 compuerta NOR de tres entradas,
- 5 compuerta NOT de una entrada
- 4 multiplexores dobles de 4 bits (74HC157),
- 4 decodificadores de BCD a 7 segmentos (74HC4511).
- 1 circuito comparador 7485,
- 1 circuito sumador (7483)
- 2 displays de 7 segmentos.
- 1 multiplexor de dos números de cuatro bits cada uno (74HC157).
- 14 resistencias 330 ohms limitadoras de corriente.

V.- EJERCICIOS PREVIOS:


1. PREGUNTAS TIPO TEST DE ELECTRÓNICA COMBINACIONAL

1.1. PREGUNTA 1

• El número decimal 16 escrito en hexadecimal es:

a) 10 b) F c) 16 d) FF

1.2. PREGUNTA 2

• El número escrito en BCD (Binary Code Decimal) 00101000 corresponde al

decimal:

Por tabla tenemos


0010(BCD) es en decimal: 2

1000(BCD) es en decimal: 8

a) 40 b) 28 c) 101000 d) 10

1.3. PREGUNTA 3

• ¿Cuál es el valor del complemento a dos del número binario: 1101?

N: número expresado en Decimal


N= 1*23+1*22+0*21+1*20 = 13
C2(N)= 24- 13 = 3 3
en sistema binario:

G2(13) = 00112
a) 1101 b) 0010 c) 0011 d) 0010

1.4. PREGUNTA 4

• ¿Qué función lógica corresponde a la siguiente tabla de verdad?

Como podemos ver en el circuito simulado de la compuerta XNOR, coincide con la tabla lógica.
a) NOR exclusiva
b) NAND
c) NOR
d) OR exclusiva
Correspondería la función lógica NOR exclusiva, con la siguiente explicación:

F =A+B=A*B+A*B
Si reemplazamos en la tabla de verdad coincide.

1.5. PREGUNTA 5

La función lógica:
F=A*B
1.6. PREGUNTA 6

• ¿Cuál es la función lógica en F del circuito de puertas de la figura?

SIMULACION ADJUNTADA EN ENVIO COMO “PREGUNTA 6”

1.7. PREGUNTA 7

La función lógica F = A + B + A puede quedar simplificada:


a) B b) 1 c) A+B d) A
1.8. PREGUNTA 8

• ¿Cuál de los siguientes circuitos digitales es de tipo secuencial?

a) Multiplexor

b) Codificador

c) Comparador

d) Ninguno de los anteriores

1.9. PREGUNTA 9

• ¿Cuál de los siguientes circuitos digitales es de tipo combinacional?

a) Biestable

b) Multiplexor

c) Contador

d) Latch

1.10. PREGUNTA 10

• ¿Cuál es la expresión más simplificada del siguiente cuadro de Karnaugh?


La respuesta es es la alternativa “a”, ya que si agrupamos los 1 en dos grupos y
resolvemos mediante el método de Karnaugh.

1.11. PREGUNTA 11

• ¿Cuál es la expresión más simplificada del siguiente cuadro de Karnaugh?

La respuesta es es la alternativa “c”, ya que si agrupamos los 1 en cuatro grupos y


resolvemos mediante el método de Karnaugh.
1.12. PREGUNTA 12

• ¿Cuántas entradas de control debe tener un multiplexor de 16 entradas de


datos?

a) 3 b) 4 c) 8 d) 16

La respuesta es la “b”, porque las entradas de datos son igual a 2^n entradas de datos.

1.13. PREGUNTA 13

• ¿Qué tarea realiza el siguiente circuito multiplexor (74157)?


Respuesta: Es la selección entre 2 palabras de cuatro bits cada una por medio de una
entrada de control. Dicho C.I está formado por cuatro multiplexores de 2 entradas de datos
cada uno con una entrada de selección común.
1.14. PREGUNTA 14

• ¿Qué aplicación podemos obtener con un multiplexor analógico?

a) Mostrar el valor de salida digital en un visualizador de 7 segmentos

b) Conversión analógico-digital de una señal

c) Trabajar con múltiples sensores para un único sistema de medida

d) Realizar operaciones aritméticas analógicas

1.15. PREGUNTA 15

• ¿Para qué se utiliza la entrada Latch Enable / Strobe del decodificador BCD – 7
segmentos (74HC4511)?

Cuando STROBE está a nivel bajo o estado 0 se encuentra bloqueado el sistema, y cuando
està en estado 1, se encuentra habilitado.

La entrada LE/STB: 1= Lath Enable;

0= Strobe (bloquea)
Respuesta: C) Entrada LE/STB :1: lath Enable; 0 = Strobe (bloqueado)
1.16. PREGUNTA 16

• El circuito integrado comparador de dos números de 4 bits (7485) posee 3 entradas


auxiliares. ¿Cuál es su misión?

a) Comparar más de dos números

b) Comparar dos números de más de 4 bits

c) Sumar el acarreo a los números a comparar

d) Seccionar los números a comparar

1.17. PREGUNTA 17

• ¿Cuál es la diferencia entre un semisumador y un sumador completo de un bit?

a) El semisumador divide por la mitad el resultado de la suma

b) El semisumador no tiene en cuenta el acarreo inicial de la anterior suma

c) El semisumador no calcula el acarreo de salida

d) El sumador puede sumar números positivos y negativos mientras que el semisumador


solo números positivos

1.18. PREGUNTA 18

• El acarreo final de salida de la suma de dos números binarios:

a) Se desprecia

b) Es el bit menos significativo del resultado de la suma

c) Es el bit más significativo del resultado de la suma

d) Es siempre cero
VI.- PROCEDIMIENTO
Para los siguientes problemas analizar y seguir los pasos de diseño para ser resueltos.

PROBLEMAS ELECTRÓNICA COMBINACIONAL

2.1. PROBLEMA 1

Diseñar el circuito lógico de un sistema de votación de un jurado de 3 miembros


(A, B y C). Cada miembro vota 0 o 1. La salida del circuito es una lámpara (F),
esta lámpara estará encendida (1) cuando la mayoría de los miembros del
jurado vote 1 y estará apagada (0) cuando la mayoría vote 0. Realizar un circuito
que verifique el funcionamiento del problema.
Realizar:

a) Tabla de verdad y función lógica canónica.

Tabla de Verdad y la Función Lógica Canónica

2.2. PROBLEMA 2

• Diseñar un circuito lógico para la selección de 2 alarmas (A y B) en una salida F


mediante una entrada de selección (S), Si C=0 entonces F vale lo mismo que A y si
C=1 entonces F vale lo mismo que B.
Realizar:

a) Tabla de verdad y función lógica canónica.

S A B F
0 0 0 0
0 0 1 0
0 1 0 1
0 1 1 1
1 0 0 0
1 0 1 1
1 1 0 0
1 1 1 1

Tabla de Verdad

Fijándose en las combinaciones en las que F vale 1 tenemos la función:

F = S·A·B +S·A·B +S·A·B +S·A·B


Algunas pruebas para verificar la tabla de verdad
b) Simplificar mediante cuadros de Karnaugh. Escribir la función lógica.

S/AB 00 01 11 10
0 0 0 1 1
1 0 1 1 1

Función simplificada (suma de los grupos de la tabla)

F =S·A+S·B

c) Obtener función lógica utilizando solo la función NAND de dos entradas.

d) Dibujar el circuito lógico simplificado con C.I. 7400 (puertas NAND de dos
entradas).
Circuito Lógico con puertas NAND 7400N

Circuito Lógico con puertas NAND 7400N en físico

2.3. PROBLEMA 3

• Diseñar un circuito lógico que permita seleccionar 2 magnitudes: TEMPERATURA (A) y


HORA (B) de Un dígito (BCD) para mostrarlo en un visualizador de 7 segmentos (F)
mediante una entrada de selección (S), de forma que si S=0 entonces F corresponde
con A y si S=1 entonces F corresponde con B. Además, existe una señal de bloqueo
(E) de forma que permita mantener el valor del visualizador, aunque se cambie las
entradas.
Se puede utilizar un multiplexor de dos números de cuatro bits cada uno (74HC157). Para
la salida se utilizará un circuito decodificador de BCD a 7 segmentos (74HC4511) y un
visualizador de 7 segmentos con sus resistencias limitadoras de corriente. Este
decodificador posee una entrada LE/STB donde se conecta la entrada E de forma que si

Circuito diseñado en Proteus (Archivo Adjunto Al envio como PROBLEMA 3)


EXPLICACION
Con este sistema podemos ver por medio del display dos números, cuando S está en estado
0, vemos el valor de las entradas A, y cuando S está en estado 1, vemos el valor de las
entradas B, y cuando E está en estado 0 el circuito funciona, pero si E está en estado 1 el
sistema se bloquea.

2.4. PROBLEMA 4

• Diseñar un circuito lógico que permita seleccionar 2 magnitudes: Temperatura

(A) y Hora (B) de DOS dígitos (BCD) cada una para mostrarlo en dos visualizadores de
7 segmentos (F) mediante una entrada de selección (S), de forma que si S=0
entonces F corresponde con A y si S=1 entonces F corresponde con B.

Es una extensión del problema anterior, simplemente hay que utilizar dos multiplexores
dobles de 4 bits (74HC157), pero con el control (S) común a ambos multiplexores. En este
caso no hay entrada de bloqueo por lo que no se ha incorporado un circuito decodificador
de BCD a 7 segmentos (74HC4511).
2.5. PROBLEMA 5

• Diseñar el circuito lógico para el control de una máquina fotocopiadora. Esta máquina tiene
una selección del número de copias a realizar (Preset) de un máximo de 9 copias y un
contador del número de copias realizadas. El sistema determinará cuando la máquina tiene
que parar. Además, indicará el número de copias realizadas y las que faltan por realizar,
ambos datos se mostrarán en un visualizador de 7 segmentos.
Se realizará una comparación entre el número de selección de copias (preset) y el del
contador, para ello se utilizará un circuito comparador 7485, donde la salida A=B servirá para
indicar Stop. Para saber el número de las copias que faltan por realizar es necesario un
circuito restador (resta el valor de la selección menos el valor del contador). Para lograr una
resta hay que sumar el minuendo con el complemento a dos del sustraendo. El complemento
a dos de un número se obtiene intercambiando unos por ceros y al resultado se suma uno.
Para obtener el circuito restador se utiliza un circuito sumador (7483) y cuatro puertas
inversoras para invertir el valor de contador. La entrada de acarreo inicial se conecta a
tensión de alimentación (Vcc) para sumar uno. Se añaden dos visualizadores de 7
segmentos para conocer el número de copias realizadas y las que faltan por realizar.
Circuito diseñado en Proteus (Archivo Adjunto Al envió como PROBLEMA 5)

EXPLICACION

Con este sistema podemos ver por medio de los displays dos números, tenemos una
selección y un contador, el sistema consta como se ve en la figura en SELECCIÓN el bit 3
está en estado 1, entonces tenemos un valor de 4, y en CONTADOR el bit 1 y 2 están en
estado 1, entonces tenemos un valor de 3 (REALIZADAS) entonces en el display de FALTAN
resulta la resta SELECION – CONTADOR = 1, ese sería el proceso de este sistema.
2.6. PROBLEMA 6

• Diseñar un circuito lógico para el control de la dirección del motor de un ascensor (arriba, abajo
o paro) de un edificio de 8 plantas (0 a 7).

Utilizar bloques de circuitos digitales combinacionales.

La entrada de cada pulsador y de cada posición del ascensor se codificará a binario mediante
codificador de 8 a 3. Posteriormente se realizará una comparación entre ambos números y en
función del resultado se sabe si el ascensor tiene que subir, bajar o permanecer parado.
Circuito diseñado en Proteus (Archivo Adjunto Al envió como PROBLEMA 6)

EXPLICACION

Se realizó el circuito en el programa PROTEUS, realizando las simulación tenemos


dos entradas, una para indicar en qué piso se quiere que se presente el ascensor y
el segundo indica en qué piso se encuentra el ascensor, también se cuenta con dos
DISPLAY numéricos para indicar el piso, y adicionalmente 3 salidas para ver si el
ascensor tiene que subir, bajar o mantenerse en el mismo lugar, por ejemplo se
quiere usar el ascensor en el piso 6 pero el ascensor está en el piso 2, así que se
indica que el ascensor debe de subir.
IV.- OBSERVACIONES Y CONCLUSIONES

• Se pudo observar las diferentes aplicaciones de los sistemas combinacionales.


• También se observó las diferencias entre los sistemas combinacionales y
secuenciales
• Se logró diseñar circuitos para diferentes problemas, utilizando circuitos
combinacionales con un criterio adecuado.

Mg. Ing. Lizbeth Paredes Docente


DAIEL

También podría gustarte