Documentos de Académico
Documentos de Profesional
Documentos de Cultura
2 DESARROLLO
Imagen 4: Flip-flop RS a describir en modelsim.
2.1 CIRCUITO COMBINACIONAL • El cuarto es un flip-flop T
1
Simulación de circuitos combinacionales y secuenciales en VHDL
.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
Entity flipflopD is
2
Simulación de circuitos combinacionales y secuenciales en VHDL
.
library ieee;
End flipflopT;
use ieee.std_logic_1164.all;
Architecture funcional of flipflopT is
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
begin
Entity desp is
process (T)
begin port (
if reset='1' then clk,D: in std_logic;
q<= '0'; q1, q2, q3 : inout std_logic;
elsif clk'event and clk='1' then q : out std_logic
if T<='0’ then );
q<=q;
elsif T<= '1' then End desp;
q<= not q;
end if; Architecture funcional of desp is
end if; begin
end process; process (clk,D,q1,q2,q3)
end funcional; begin
if clk'event and clk = '1' then
Flip-FLop RS q1 <= D;
end if;
library ieee; if clk'event and clk = '1' then
q2 <= q1;
use ieee.std_logic_1164.all; end if;
if clk'event and clk = '1' then
use ieee.std_logic_arith.all; q3 <= q2;
end if;
use ieee.std_logic_unsigned.all; if clk'event and clk = '1' then
q <= q3;
Entity flipflopRS is end if;
end process;
port (clk, r, s, reset: in std_logic; end funcional;;
q: inout std_logic); 3.2 SIMULACIONES
begin
3
Simulación de circuitos combinacionales y secuenciales en VHDL
.
3.2.2 FLIP-FLOPS
4 CONCLUSIONES
5 REFERENCIAS
4
Simulación de circuitos combinacionales y secuenciales en VHDL
.
ANEXO 1
5
Simulación de circuitos combinacionales y secuenciales en VHDL
.
ANEXO 2
6
Simulación de circuitos combinacionales y secuenciales en VHDL
.
ANEXO 3
7
Simulación de circuitos combinacionales y secuenciales en VHDL
.
ANEXO 4
8
Simulación de circuitos combinacionales y secuenciales en VHDL
.
ANEXO 5
9
Simulación de circuitos combinacionales y secuenciales en VHDL
.
ANEXO 6
10