Está en la página 1de 5

INGENIERIA BIOMEDICA. DIGITALES.

MAYO 2022 1

Laboratorio 4, Simulación de
descripciones en VHDL
Maria Fernanda Chaparro, Nicolas Bernal
Luis Salazar

Abstract
In this laboratory practice, the objective is to use the knowledge in gates and digital signals developed in the
theoretical class, focusing on the simulation of the circuits already carried out in practice, simulating the response
through the VIVADO software, as well as improving programming. for next more complex components and the
good interpretation of the signals obtained.

Index Terms III MARCO TEÓRICO


Floodgates, digital signs, software, simulation. 1 VHDL
VHDL se trata de un lenguaje de especificación que se
utiliza para describir circuitos digitales y también para la
I INTRODUCCIÓN automatización de diseño electrónico. A estos lenguajes se
les llama por lo general lenguajes de descripción de hard-
Un circuito combinacional se trata de un circuito donde ware.
la salida depende únicamente de la “combinación” de sus VHDL puede ser usado de forma general para describir
entradas en el instante que se está realizando la medición cualquier circuito digital, pero se usa principalmente para
en la salida. Es un circuito que carece de memoria y tra- programar PLD. El lenguaje VHDL se desarrolló con la
bajan con números binarios. Por otra parte, en un circuito finalidad de simular circuitos eléctricos digitales y luego,
secuencial la salida no solo depende de la combinación de se desarrollaron herramientas de sı́ntesis e implementación
entrada, sino también de la hisotira de las entradas ante- en hardware a partir de los archivos VHD.
riores. En estos circuitos, el contenido de los elementos
de memoria solo es posible que cambie en presencia de
un pulso del reloj. Estos circuitos mencionados anterior- 2 Circuitos secuenciales
mente pueden ser modelados desde un lenguaje VHDL, Un circuito que su salida depende no solamente de la com-
que está definido por el IEEE y es utilizado para describir binación de entrada, sino también de la historia de las en-
circuito digitales y automatizar el diseño electrónico. En tradas anteriores se llama Circuito Secuencial. Son esos
el presente trabajo se realizarán actividades utilizando el circuitos donde el contenido de los elementos de memoria
lenguaje VHDL y los circuitos combinacionales y secuen- sólo puede cambiar en presencia de un pulso de reloj, la in-
ciales. Se plasmarán en el informe los respectivos códigos formacion de entrada puede cambiar y hacerse operaciones
y finalmente se realziarán analisis y conclusiones. lógicas en el circuito combinacional, pero no existe cambio
en la informacion contenida en las células de memoria.

II OBJETIVOS 3 Circuitos combinacionacionales


Estos son circuitos donde su salida depende solamente de
• Brindar varios conocimientos sobre análisis y sı́ntesis
la combinación de sus entradas en el momento que se está
de un circuito secuencial utilizando compuertas
realizando la medición en la salida. Estos son circuitos
lógicas.
que carecen de memoria y trabajan con números, y con la
tecnologı́a con la que están realizados. Estos números se
• Comprender y observar el funcionamiento de las representan en binario.
compuertas logias a varias órdenes enviadas por el
circuito.
4 Flip Flop
• Desarrollar dicho circuito y simular con vivado los Es un circuito de tipo multivibrador y secuencial que
diferentes circuitos como lo son circuitos secuenciales puede adquirir dos estados de manera indefinida, a menos
y máquina de estado. que se perturbe de alguna manera dicho circuito. Es un
INGENIERIA BIOMEDICA. DIGITALES. MAYO 2022 2

dispositivo ampliamente usado en el almacenaje de datos


e información en artı́culos digitales y electrónicos.
Los flip-flop se clasifican según las entradas que poseen,
pudiendo ser:
Sı́ncronos: Poseen entradas de control, pero además
tienen incluido un sistema de reloj o algún tipo de mecan-
ismo o dispositivo para rotar los estados o temporizarlo.
Ası́ncronos: Este tipo de biestable solo posee entradas de
control.

Figura 2: Código del circuito combinacional

En este diagra se pueden observar las diferentes com-


puertas como lo son las AND, OR y NOT

Figura 1: Tipos de Flip Flop

FLIP FLOP R- S: El biestable R-S adquiere su nom-


bre por sus entradas Reset y Set, para resetear y setear
la información ingresada o almacenada en el dispositivo,
respectivamente.
FLIP FLOP T: En este tipo de flip-flop el cambio de
estado de produce mediante un pulso, el cual se consti- Figura 3: Circuito Diagrama
tuye como un ciclo de cero a uno de manera completa.
Este modelo de biestable puede utilizarse como un com-
plemento de reloj para el modelo R-S.
FLIP FLOP JK: Este dispositivo es una combinación
de los dos anteriores, pero se diferencia del RS en su com- Para confiermar que esta simulación este correcta se
portamiento al activarse ambas entradas a la vez: Este bi- debe tener encuenta las tablas de verdad de cada com-
estable hace que su salida tenga el estado contrario al que puerta puesta y asi poder entender la respuesta de las 3
poseı́a antes de abrirse las dos entradas simultáneamente. salidas correspondietes

IV EVIDENCIAS

1 Circuito Combinacional

Para este código se utilizaron las ecuaciones mencionadas


en la guı́a de laboratoria para lograr la ejecutación de las
compuertas. Figura 4: Simulación circuito combinacional
INGENIERIA BIOMEDICA. DIGITALES. MAYO 2022 3

2 Flip Flops

Figura 7: Circuito T codigo

Figura 5: Circuito RS
Continuando con el circuito JK se investigo mas a
fondo sobre su circuito interno, el cual parte de un flip
flop RS donde solo se le añaden dos compuertas AND.

Para la realización del codigo RS se utilizo el circuito in-


terno. Como se puede evidencias las unicas entradas son
RS, mientras que Q y QN son las unicas salidas.

Figura 8: Circuito JK codigo


Figura 6: Circuito RS diagrama

Para el circuito del flip fliop T podemos ver que tam- El flip flop D se realizo con el siguiente código, donde
bien podemos observar que cuenta con salidas y entradas se logró simular y ver el diagrama de este para entender
R, S, Q y QN, lo que el codigo nos quedaria asi. mejor su funcionamiento.
INGENIERIA BIOMEDICA. DIGITALES. MAYO 2022 4

3 Registro de Desplazamiento
Para la realización de este código se tuvo en cuenta el
anterior donde se realizó el el flip flop tipo D y se logró
impletentar en este código

Figura 9: Circuito D codigo

Figura 12: Código de registro de desplazamiento


Se puede evidenciar el circuito del flip flop con una
compuerta NOT para el Q negado de salida

Figura 10: Circuito D Diagrama


Figura 13: Continuación del código
Para entender esta simulación se requiere de la tabla
de verdad del flip flop tipo D para saber el tipo de binario
que entra y sale

Figura 14: Final del código

Se logra ver el diagrama conformado por 4 flip flops


Figura 11: Simulación flip flop D tipo D que se habian realizado anteriormente
INGENIERIA BIOMEDICA. DIGITALES. MAYO 2022 5

en la guı́a.

• Se identificó y entendió el paso a paso para la real-


ización de los códigos aplicando el lenguaje de pro-
gramación VHDL para lograr obtener los respectivos
resultados.

• Se consiguió diferenciar entre las estructuras y fun-


cionalidades de los circuitos combinacionales y se-
cuenciales. Los combinacionales no tienen memoria
Figura 15: Circuito Diagrama y los secuenciales si.

Para esta simulación, se lográ ver el funcionamiento


del registro y como van corriendo los numeros en los flip VI REFERENCIAS
flops depediento que valor se le asigne en la entrada D
[1] “Circuito secuencial - EcuRed,” Ecured.cu, 2022.
https://www.ecured.cu/Circuitos ecuencial
[2] Administrador, “Qué son Circuitos Combi-
nacionales? - Electrónica Digital - Electrónica
Unicrom,” Electrónica Unicrom, Jun. 24,
2018. https://unicrom.com/circuitos- combinacionales-
electronica-digital/
[3] “5.- Circuitos combinacionales. Introducción. —
ELEC02.- Circuitos combinacionales MSI.,” Ulhi.net,
2022. https://ikastaroak.ulhi.net/edu/es/IEA/ELEC/ELEC02/e
text = U n
Figura 16: Simulación registro de desplazamiento
[4] Mariana, “Maquina de estados: ¿A que nos
referimos? - Fisicotrónica,” Fisicotrónica, Jun. 19,
V CONCLUSIONES 2016. http://fisicotronica.com/maquina-de-estados-nos-
referimos/
• Se logró describir códigos en VHDL de los circuitos [5] C. de, “Máquina de estados,” Wikipedia.org, Oct.
combinacionales y circuitos secuenciales propuestos 19, 2005. https://es.wikipedia.org/wiki/M

También podría gustarte