Está en la página 1de 13

ACTIVIDAD 1 FECHA 18/09/2012 FECHA 25/09/2012

ENVIO: ENTREGA:
EXTRACLASE
No.
UNIDAD N° 1:
ARITMÉTICA DIGITAL. OPERACIONES Y CIRCUITOS
TEMA: CIRCUITO DE UN SUMADOR COMPLETO
Exponer su funcionamiento y adición del código BCD de cuatro bits
PROBLEMA:

Estudio, representación y análisis del circuito sumador completo, asi


OBJETIVO:
como diseñar e interpretar procesos

INDICADORES DE EVALUACION: CALIFICACIÓN


g) Comunicarse efectivamente
i) Comprometerse con el aprendizaje continuo
CRITERIOS DE EVALUACIÓN: Siempre A Nunca
(2) veces (0.1)
(0.75)
CAPACIDAD DE COMUNICACIÓN.
EN EXPOSICIONES
 Responde claramente a las preguntas que se le realizan.
 Demuestra seguridad en el tratamiento de los temas.
 Toma en cuenta los elementos vocales y verbales (mantiene: tono, énfasis, claridad durante la
presentación). Mantiene el mismo tono de voz durante la exposición. Habla con claridad y en forma coherente
durante la exposición. Resalta aspectos importantes del tema
 Toman en cuenta los elementos visuales, (postura, viste de acuerdo a la ocasión, accesorios,
gestos, ademanes). Sostiene una postura adecuada durante la exposición. Utiliza un vestuario adecuado para hacer
la presentación
EN IMPRESOS
 Entrega documentación impresa y digital . (Siguiendo las normas y convenciones para la escritura
y sin falta de ortografía). La redacción del documento debe ser clara. Debe incluir todas las fuentes de donde tomo la
información.
 Cumple con el formato, normas y estructura para la elaboración del
documento.
APLICACIÓN DE VALORES.
 Puntualidad. Entrega de trabajo a tiempo
 Responsabilidad ética. El trabajo es inédito
 Responsabilidad profesional. Cumple con las normas técnicas.
USO DE RECURSOS:
 Recursos bibliográficos fidedignos
 Recursos tecnológicos adecuados
CAPACIDAD DE REFLEXIÓN.
 Incluye ejemplos claros que permiten un mejor entendimiento del tema.
CONOCIMIENTO TÉCNICO.
 Destreza con las herramientas informáticas.
TIPO DE ACTIVIDAD
LUGAR ALCANCE FORMA
□ Intraclase □Individual □Taller □Práctica en laboratorio
□ Extraclase □Grupal □Síntesis, esquemas □Práctica en clase
□Caso de estudio □Resolución de problemas,
ejercicios
□Investigativa
□Vinculación con la colectividad □Ensayo, artículo
□Informe de exposición
ROLES Y RESPONSABILIDADES DE LOS PARTICIPANTES EN LA TAREA:
NOMBRE ESTUDIANTE ROL DESCRIPCIÓN

Gustavo Belduma Investigador- Investigación de componentes de un


Expositor circuito sumador de 4 bits, y su
funcionamiento
TÉCNICAS EMPLEADAS
INVESTIGACIÓN DESCRIPTIVA, RECOLECTANDO DATOS
CIRCUITO SUMADOR COMPLETO DE CODIGO BCD

1. DESARROLLO DE LA ACTIVIDAD

1.1 INTRODUCCIÓN
En esta investigación daremos a conocer a los estudiantes el
funcionamiento de un sumador BCD de dos dígitos, sus componentes y el
diseño de su circuito. Además se podrá se demostrara en un ejemplo
común como se puede corregir un código BCD en caso de que sea mayor a
9,ya que en caso de darse se deberá sumar 6 en BCD lo que conlleva el
correcto de manejo de su bit de acarreo.
1.2 MARCO TEÓRICO

Un circuito integrado aritmético muy útil es el caso del sumador total de 4


bits el 74ls83, la función que cumple el circuito integrado es de sumar dos
números binarios de 4 bits(A1,A2,A3,A4 y B1,B2,B3,B4)
por las 8 entradas que posee el circuito integrado 7483. Para poder sumar 2
números de cuatro bits , la entrada C0 se mantiene a cero mientras que las
salidas del circuito aparecen unidas a los indicadores de la salida. en
particular la salida C4 esta unida al indicador de los bits del dieciséis. Este
sumador binario puede sumar hasta el valor binario 11110(30 decimal),
correspondiente a la suma de 1111 y 1111

El pin C4 se lo denomina transporte saliente del circuito integrado 74ls83


es el mismo pin de entrada en el mismo circuito. La C0 (transporte entrante)
de los bits de 1 en el sumador total
Los circuitos integrados 7483 pueden acoplarse e serie conectando la
salida C4 del primer circuito a la C0 (transporte entrante) del siguiente, con
dos circuitos acoplados en serie puede formarse
un sumador binario de 8 bits, este C.I. puede ser también un restador de 4
bits, en tal caso que se quiera este resultado, a las entradas del grupo B se
invierten o complementan a C4 (transporte saliente) y pasa a ser la línea
de trasporte de contorno que va a C0 (transporte entrante) del circuito 7483.
El circuito integrado podría también utilizarse en el circuito simulador
restador esquematizado
CIRCUITOS SUMADORES
El sumador binario es la célula fundamental de todos los circuitos
aritméticos, ya que mediante sumas (y complementos) es posible realizar
restas y como ya se vió en capítulos anteriores con sumas y restas
(además de corrimientos) es posible realizar multiplicaciones y divisiones,
en otras palabras, las cuatro operaciones aritméticas fundamentales se
pueden realizar usando sumas.
A continuación se describe el diseño paso a paso de un sumador binario
expandible de acuerdo al número de bits de los datos a sumar.

EL SUMADOR BINARIO DE n BITS


La ventaja del sumador completo de un bit es que permite conectarse en
cascada con otros sumadores completos para realizar un sumador
completo de varios bits. Por ejemplo, en la siguiente figura se muestra como
se conectarían cuatro sumadores completos de 1 bit para construir un
sumador binario de cuatro bits.

El sumador mostrado en la figura anterior puede realizar la suma de dos


datos binarios de cuatro bits, el dato A=A3A2A1A0 y el dato B =B3B2B1B0
para producir la suma A+B +C0=S=C4S3S2S1S0 con la posibilidad de
recibir un acarreo de entrada C0 y de generar un acarreo de salida C4.
Estos acarreos permiten ver a este sumador como un solo bloque que se
puede a su vez conectar en cascada con otro bloque idéntico para formar
un sumador binario de 8 bits, y así sucesivamente, uno de 16 o uno de 32,
etc.

SUMADORES EN CIRCUITO INTEGRADO

Algunos sumadores binarios en circuito integrado de la familia TTL son los


siguientes:

7480 Sumador Completo de 1 bit.


7482 Sumador Completo de 2 bits.
7483 Sumador Completo de 4 bits.
74283 igual al 7483 pero con diagrama de patitas diferente

En la siguiente figura se muestra el diagrama funcional del 74LS83


(sumador binario de 4 bits)

1.3 METODOLOGÍA
La investigación se la realizo a partir de una búsqueda descriptiva en
internet.
Se aplicaría de manera en la cual nosotros queramos describir cada uno de
los modelos o libros en que se pueden encontrar con mayor abundancia
información, en este caso en los libros se encontró abundante información
que fue un recurso muy útil en esta investigación

Sumador BCD.- El problema de sumar dos datos BCD usando un sumador


binario (como el 7483) ocurre cuando el resultado de la suma es mayor que
9, ya que entonces el sumador binario producirá un resultado erróneo en
BCD.

Por ejemplo, al sumar 4+7 el resultado binario será 15=(1111)2 mientras


que el resultado esperado en BCD es 15=(1 0101)BCD. Obsérvese que si
al 15 producido por el sumador binario le sumáramos un 6: 15+6=21
=(10101)2 ¡El resultado sería correcto en BCD! Lo ilustrado en el caso de la
suma 4+7 se cumple en general, de manera que para realizar una suma de
dos datos BCD se procederá de la siguiente manera:

i. Si el resultado es menor que 10 es correcto tanto en binario como en BCD


ii. Si el resultado es mayor o igual que 10, el resultado correcto en BCD es
el resultado en binario más 6
Lo anterior se puede resolver usando un par de sumadores binarios: unao
para realizar la primera suma y otro para realizar la corrección (sumar 6) en
el caso necesario. Además se requiere un circuito lógico comparador para
que active un indicador de que el resultado es mayor o igual que 10.
En la siguiente figura se muestra la implementación del sumador de dos
dígitos BCD
Recuerden que los números BCD son una forma de trabajar los números
DECIMALES pero expresados con código binario. Entonces, toda suma
cuyo resultado esté por encima de 9 debe tener un procesamiento especial.
Por otro lado, el número máximo que puede formarse de la suma de dos
números BCD es el 18 (9+9) o en todo caso el 19 si tomamos en cuenta el
acarreo de entrada (9+9+1). La primera etapa de nuestro circuito es
elemental, son nuestros dos números binarios de entrada a un circuito
sumador. Lo que requerirá de procesamiento será la salida de esta primera
etapa.
Veamos entonces la siguiente tabla de la verdad:
Decimal Suma en Binario Suma en BCD
(binario corregido)
C4 S3 S2 S1 S0 Cn R3 R2 R1 R0
0 0 0 0 0 0 0 0 0 0 0
1 0 0 0 0 1 0 0 0 0 1
2 0 0 0 1 0 0 0 0 1 0
3 0 0 0 1 1 0 0 0 1 1
4 0 0 1 0 0 0 0 1 0 0
5 0 0 1 0 1 0 0 1 0 1
6 0 0 1 1 0 0 0 1 1 0
7 0 0 1 1 1 0 0 1 1 1
8 0 1 0 0 0 0 1 0 0 0
9 0 1 0 0 1 0 1 0 0 1
10 0 1 0 1 0 1 0 0 0 0
11 0 1 0 1 1 1 0 0 0 1
12 0 1 1 0 0 1 0 0 1 0
13 0 1 1 0 1 1 0 0 1 1
14 0 1 1 1 0 1 0 1 0 0
15 0 1 1 1 1 1 0 1 0 1
16 1 0 0 0 0 1 0 1 1 0
17 1 0 0 0 1 1 0 1 1 1
18 1 0 0 1 0 1 1 0 0 0
19 1 0 0 1 1 1 1 0 0 1

 Estos sumadores completos de realizar la suma de dos números binarios


de 4-bit. La suma de las salidas se proporcionan para cada bit y el arrastre
resultante (C4) se obtiene a partir del cuarto bit. Estos complementos tienen
mirada llena interna por delante en los cuatro bits. Esto proporciona al
diseñador del sistema con el cumplimiento parcial búsqueda hacia delante
en la economía y la cantidad de paquetes reducido de una aplicación de
rizado de transporte. La lógica sumador, incluyendo el transporte, se aplica
en su sentido verdadera forma que el acarreo final-en torno se puede lograr
sin la necesidad de la lógica o la inversión de nivel.

1.4 SOLUCIÓN O RESULTADOS


CRITERIOS PERSONALES
1. ¿Qué lo llevó a considerar estas respuestas cómo válida, en qué se
fundamenta?
La información se encontraba en textos guías, ya que fue una fuente confiable

2. ¿Qué problemas se presentaron al momento de elaborar la solución?


Escasos ejemplos prácticos acerca del sumador completo BCD
3. ¿Qué se me hizo más fácil resolver en este trabajo?
Investigar y recopilar información técnica del circuito integrado

4. ¿Cómo te ayudó este trabajo a aprender algo nuevo o a reforzar conocimientos


anteriores?
Fue de gran ayuda este trabajo ya que en investigación se pudo aclarar
conceptos y se abarco el tema de manera amplia y veraz

5. ¿Puedes describir algunas relaciones entre este trabajo, otras asignaturas que
estas estudiando y la vida real?
Con nuestro perfil profesional lo podemos relacionar en el análisis y desarrollo
a encontrar solución a sistemas complejos y racionales

6. ¿Qué no he logrado comprender?


He comprendido de manera clara y oportuna.

7. ¿Qué debo mejorar?


La investigación debe ser más profunda

2. CONCLUSIONES Y RECOMENDACIONES
Polarizar Correctamente el circuito integrado, para prevenir la sobrecarga máxima
que puede soportar
Con un repaso del tema, no encontramos ningún inconveniente
El desarrollo de la actividad se dio de las principales ideas.

3. REFERENCIAS BIBLIOGRÁFICAS
Tomas,Edinson(2003).Sistemas Digitales. Principios y Aplicaciones. México 2003.
Octava Edicion

GINZBURG.M.C(2002).Técnicas digitales con circuitos integrados. Barcelona,


segunda edición. editorial reverte
Anexos
Circuito Integrado 74ls83

Circuito Integrado 74ls47

También podría gustarte