Está en la página 1de 13

UNIVERSIDAD NACIONAL

AUTÓNOMA DE MÉXICO
(UNAM)

Facultad de Ingeniería

Laboratorio de Diseño Digital Moderno

Práctica 4 “Implementación de funciones usando instrucciones


concurrentes 2”

Profesor: Vicente Flores Olvera

Alumno: Vázquez Torres Juan Adrián

Semestre: 2021 – 1
Objetivo
El alumno construirá funciones lógicas utilizando programación algorítmica (when – else,
with – select – when ) utilizando la plataforma Quartus y asi como el proceso para la
programación sobre un DLP.

Materiales

• Software Quartus Prime Lite 18.1


• FPGA De10-Lite

Previo
Parte A

Los displays de la FPGA De10-Lite están configurados de la siguiente forma:

Tabla de verdad correspondiente al ejercicio A:


Código en VHDL

Simulación:

Lo mostrado en la simulación corresponde con la tabla de verdad.


Muestra en FPGA, la entrada son los primeros 4 switches de derecha a izquierda:
Entrada 0000 | Salida: 0

Entrada 0010 | Salida: 2


Entrada 0100 | Salida: 4

Entrada 0110 | Salida: 3

Entrada 0000 | Salida: 3


Entrada 1101 | Salida: -

Esta salida corresponde a la parte “when others” del código.


Parte B

Tabla de verdad:

Código en VHDL

Simulación:

Simulación:

La salida corresponde con la tabla de verdad.


Ejercicio propuesto

Entrará una variable en formato BCD de 4 bits y su salida será su equivalente en exceso 3.
El resultado se mostrará en la FPGA.

Tabla de verdad de BCD a exceso 3:

Tabla de verdad de exceso 3 a hexadecimal:


Código en VHDL:

Para la entrada se usaron los primeros 4 switches de derecha a izquierda (3,2,1,0):

Entrada 0000 | Salida: 3


Entrada 0010 | Salida: 5

Entrada 0100 | Salida: 7

Entrada 0110 | Salida: 9


Entrada 0111 | Salida: A (10)

Entrada 1001 | Salida: C (12)


Conclusiones

En esta práctica reforzamos los conocimientos de la práctica anterior. Usamos una


instrucción with – select para la parte A de la práctica y después usamos una instrucción
when – else para la parte B. La parte A se pasó a la FPGA (De10-Lite) y las entradas las
asignamos a switches y la salida a un display 7 segmentos, por lo que también repasamos
el cómo integrar un código VHDL a nuestra respectiva FPGA.

También podría gustarte