Documentos de Académico
Documentos de Profesional
Documentos de Cultura
PROGRAMAS
RESUMEN
EL ORDEN DE LOS PROGRAMAS QUE SE
Este informe presenta la descripción en VHDL de
IMPLEMENTARON ES EL SIGUIENTE:
un sistema de control de motor DC por PWM con
cambio de giro y retroalimentación de un pulso
de frecuencia generado por el motor y
COUNTUD.VHD → Programa que recibe las
transformado a datos digitales para el
señales de control del PC y genera un conteo
procesamiento a través de un computador. Para
hacia arriba o abajo
este propósito se utilizo el software MAX+PLUS
que sirve de entrada al siguiente bloque.
II versión 10.0 que es una plataforma de diseño,
simulación y programación de la empresa PWM5.VHD → Programa que genera la señal
fabricante de dispositivos semiconductores
PWM de acuerdo al valor binario que se ingresa
ALTERA.
a una frecuencia determinada por el divisor de
frecuencia implementado.
OBJETIVOS.
CGIRO.VHD → Programa que según otra
OBJETIVO GENERAL.
señal de control enviada por el PC, conmuta la
señal PWM entre dos salidas distintas.
Realizar un sistema de control de motor DC por
PWM.
FREC3.VHD → Programa que recibe el pulso
del motor Dc y lo convierte en un dato digital
OBJETIVOS ESPECÍFICOS.
contando el numero de pulsos de reloj que se
ü Realizar un programa en VHDL que
controle la velocidad de un motor por
efectúan durante un instante de tiempo
medio de PWM.
determinado por la velocidad del motor.
ü Implementar en VHDL un sistema que
M8TO4.VHD → Multiplexor de 8 a 4 para que
convierta pulsos de frecuencia en datos
el dato digital pueda ser recibido por el puerto
de tipo digital.
paralelo del PC, se controla por una señal
externa que completa la quinta entrada del
ü Simular en MAX+PLUS II el resultado
puerto paralelo.
de los programa realizados.
--COUNTUD.VHD—
ESPECIFICACIONES
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
Para implementar el control PWM se realizaron 5
use IEEE.STD_LOGIC_ARITH.ALL;
bloques de funciones: 3 para la generación y
use IEEE.STD_LOGIC_UNSIGNED.ALL;
control de cambio de giro de la señal PWM y 2
para la conversión a datos digitales de la señal
entity countud is
de retroalimentación del motor DC. El programa
port(
final propwm.vhd enlaza y distribuye las
ctrl,ena,clk: in std_logic;
entradas y las salidas del dispositivo a
outcount: out std_logic_vector (2 downto 0)
programar con los bloques creados y entre los
);
bloques.
end countud;
También se implemento la función PACKAGE de
architecture behavorial of countud is
VHDL que permite al diseñador crear librerías
signal count: std_logic_vector (2 downto
para al final enlazar los programas.
0):="000";
begin
outcount <= count;
process (clk,ctrl,ena)
begin
if clk'event and clk='1' then --CGIRO.VHD—
if ena = '1' then
if ctrl ='1' then count <= count + '1'; library ieee;
else count <= count - '1'; use ieee.std_logic_1164.all;
end if; use ieee.std_logic_arith.all;
else count <= "000"; use ieee.std_logic_unsigned.all;
end if;
end if; entity cgiro is
end process; port (
inpwm,ctrl,clk: in std_logic;
end behavorial; outpwm1,outpwm2: out std_logic
);
--PWM5.VHD— end cgiro;
frecm: frec3
port map(
--Entradas
clk => clk,
inf => infrec,
--Salidas
pulso => outpul2,
outf => frecaux
);
mult: m8to4
port map(
--Entradas
clk => clk,
ctrl => controlm,
indato => frecaux,
--Salidas
outd => outfrec
);
end behavorial;