Está en la página 1de 16

Informe de Laboratorio II

Seguidor de luz, con dos grados de libertad


Jacqueline Guailla Muñoz – Karla Muyulema Yumiseba - Jhon Vargas Ochoa
jacqueline.guailla@yahoo.es - karlita.my1820@gmail.com - mcquen1@hotmail.com

Abstract — This research process aims to incorporate the Un convertidor analógico-digital toma un voltaje de
best components to the designed system in order to conduct each the entrada analógico, y después de cierto tiempo produce un
proposed functions and, accordingly, the best features for a low-cost código de salida digital que representa a la entrada
and dynamic nature prototype. Its multiple applications will make it analógica. Por lo general, el proceso de conversión AID es
a system that could meet many of the existing needs in the high- más complejo y consume más tiempo que el proceso D/A,
technology industries (energy, electronic, automation, home
por lo cual se han desarrollado y utilizado varios métodos.
automation, etc.)
Examinaremos algunos de ellos con detalle, aún y cuando
Keywords — ADC-DAC-VHDLServo motor-follower of
tal vez nunca sea necesario diseñar o construir ADCs (dado
light-photo cells-engine
que están disponibles como unidades encapsuladas en IC).
No obstante, las técnicas que se utilizan proporcionan una
I.INTRODUCCIÓN visión interna de los factores que determinan el desempeño
Con el fin de conocer las tendencias actuales en el ámbito de un ADC [1].
de sistemas de seguimiento de luz, cómo han evolucionado Desde el punto de vista de un convertidor analógico-digital
estas hasta el momento y hacia donde se dirigen, es preciso ideal, el proceso necesario para convertir una señal analógica
analizar tanto el avance del conocimiento, siempre ligado a la (continua) en una señal digital (discreta), consta de tres fases:
necesidad de un mayor aprovechamiento económico y una
mayor eficiencia energética, como aquellos antecedentes de Muestreo
aplicaciones tecnológicas en los que fundamentan su Consiste en tomar muestras periódicas d la amplitud de onda
funcionalidad. la velocidad de toma de muestras es el número de muestras
El sistema seguidor de luz, al igual que ocurre con todo lo sobre segundo se conoce como frecuencia de muestreo.
relativo al ámbito tecnológico, es el resultado de un desarrollo
que tiene su origen en un cierto fenómeno o necesidad latente. Cuantificación
Así, se pueden considerar dos líneas evolutivas originarias de En este nivel se mide el nivel de voltaje de cada muestra y a
estos tipos de sistemas: la robótica o la tecnología continuación se le asigna un margen de valor de una señal
fotovoltaica. Aunque ambas recogen la esencia de los analizada a un único nivel de salida.
seguidores de luz, la última de ellas refleja, no sólo la
aplicación funcional más importante dada a estos sistemas Codificación
sino, el resultado de lo que fue una necesidad real que con el Es la traducción de los valores obtenidos en la cuantificación
paso del tiempo, el perfeccionamiento y diversidad funcional, a valores binario.
a día de hoy es capaz de cubrir muchas otras aplicaciones
comunes entre ellas por su lógica de funcionamiento.
Uno de los aspectos que da sentido y constituye las bases del
funcionamiento de un dispositivo de seguimiento de luz, es su
capacidad para orientarse en busca del punto del entorno del
que recibe mayor luminosidad. Sin la movilidad, el
dispositivo perdería la característica de seguimiento y con ella
muchas de sus propiedades, haciendo de él un dispositivo de
captación luminosa con grandes limitaciones físicas y
totalmente dependiente de la dirección de incidencia de la
luz. La dotación de movilidad requiere la incorporación de
actuadores que sean capaces de proporcionar al sistema esta
imprescindible característica, es decir, la integración de Ilustración 1
motores en los puntos de la plataforma o estructura del Señal analógica a digital
seguidor en los que se quiere aportar grados de libertad
logrando un movimiento, fácilmente dirigido, del conjunto. B. Circuito Integrado ADC
Con la finalidad de una perfecta integración de estos
componentes en el sistema desarrollado El convertidor ADC0808 es un componente para la
adquisición de datos, este circuito CMOS tiene un convertidor
II. MARCO TEÓRICO analógico-digital de 8 bits, un multiplexor de 8 canales y una
lógica de control que lo hace compatible con todos los
microprocesadores. Para la conversión utiliza el método de
A. Conversión Analógica Digital (ADC) aproximaciones sucesivas [1].
Este dispositivo ofrece gran velocidad, gran exactitud, una corriente. En la siguiente imagen podemos observar la
mínima dependencia a la temperatura, excelente repetitividad interfaz de un DAC que adopta los niveles lógicos de entrada
y un consumo mínimo de energía, por lo tanto, lo hace un a los que necesitan los conmutadores. Los mismos que actúan
dispositivo ideal en aplicaciones de control y de automotores. sobre una red resistiva de precisión que, con la ayuda de una
fuente de referencia, dan como salida en forma analógica una
forma de onda de corriente o voltaje [1].

Ilustración 3
Señal digital analógica
Ilustración 2
Esquema de pines de ADC 0808 1. Circuito Integrado DAC
1. Características El DAC0808 es un convertidor digital-analógico (DAC)
monolítico de 8 bits que ofrece un tiempo de establecimiento
 Opera radiométricamente, con 5Vcc o con un voltaje de la corriente de salida a escala completa de 150 ns mientras
de referencia ajustable como span analógico. que disipa solamente 33 mW con fuentes de ± 5V.
 No requiere ajuste a cero o a escala completa. No se requiere recorte de corriente de referencia (IREF) para
 Tiempo de acceso de 135 nseg. la mayoría de las aplicaciones. Las corrientes de alimentación
 8 bits de resolución. del DAC0808 son independientes de los códigos de bits y
 Tiempo de conversión de 100 mseg. presentan características de dispositivo esencialmente
 Fácil interface con todos los microprocesadores. constantes en todo el rango de voltaje de alimentación.
 Error total de +/- ½ LSB y +/- 1 LSB.
 Consumo de potencia de 15 mW.

2. Aplicaciones del ADC

Los conversores analógico-digital tienen una gran cantidad de


aplicaciones. Están presentes en todo tipo de sistemas como
pueden ser sistemas de telecomunicaciones, sistemas de
control, medidas, etc.
También tiene aplicaciones en sistemas donde es necesario
medir señales analógicas, como pueden ser sensores de
temperatura, proximidad o en sistemas donde hay que realizar
el procesamiento y/o almacenamiento de audio o cualquier
otro tipo de información. Como estas señales no pueden ser
analizadas directamente por un procesador, es necesaria la
utilización de conversores A/D.

C. Convertidor Digital Analógico (DAC) Ilustración 4


Esquema de pines de DAC 0808
Un DAC contiene normalmente una red resistiva divisora de
tensión, que tiene una tensión de referencia estable y fija
como entrada. Hay que definir qué tan exacta será la 2. Características
conversión entre la señal analógica y la digital, para lo cual se
define la resolución que tendrá.  Precisión relativa, con ±0.19% como error máximo.
Un convertidor analógico-digital es un dispositivo que realiza  Correspondencia actual de escala completa: ± 1 LSB
el proceso inverso al del ADC.  Tiempo de ajuste rápido 150ns.
Es decir, convierten la información binaria presente en su  Las entradas digitales no inversoras con compatibles
entrada en una variable analógica que puede ser una tensión o con
 TTL y CMOS. electrónicos digitales, desde complejos sistemas hasta una
 Velocidad de muestreo de alta velocidad 8mA/us. simple compuerta lógica. Este lenguaje permite que el
 Rango de tensión de alimentación desde ± 4.5V a ± comportamiento de los circuitos electrónicos sea capturado en
18V. un sistema de diseño para la simulación del sistema
 Bajo consumo de energía: 33 mW equivalente a ± 5V posteriormente realizar la síntesis automática del circuito,
este es un proceso en el cual se transforma el diseño de
3. Aplicaciones del DAC manera automática desde una descripción con pocos detalles a
una más elaborada, con el fin de poder llevarla a un
Los DAC se utilizan siempre que la salida de un circuito dispositivo de lógica programable.
digital que tiene que ofrecer un voltaje o corriente analógicos  VHDL permite diseñar, modelar y comprobar un
para impulsar o activar un dispositivo analógico. sistema desde un alto nivel de abstracción bajando
 Control: La salida digital de una computadora puede hasta el nivel de definición estructural de puertos.
convertirse en una señal de control analógico para  Los circuitos descritos utilizando VHDL, siguen unas
ajustar la velocidad de un motor o para controlar casi guías para la síntesis y pueden ser utilizados por
cualquier variable física. diversas herramientas de síntesis para crear e
 Análisis automático: Los ordenadores personales implementar circuitos.
pueden ser programados para generar las señales La descripción externa se realiza mediante el concepto de
analógicas a través de un DAC) que se necesitan para entidad (ENTITY) y la interna mediante el de arquitectura
analizar circuitos analógicos. La respuesta de salida (ARCHITECTURE).
analógica del circuito de prueba normalmente se
convertirá en valor digital por un ADC.

D. Display de 7 segmentos

El display de 7 segmentos es un componente que se utiliza


para la representación de números en muchos dispositivos
electrónicos.
Cada elemento del display tiene asignado una letra que
identifica su posición en el arreglo del display [5]. Ilustración 7
Descripción de un Sistema digital utilizando VHDL

F. CMOD S6

La tarjeta Cmod S6 es una pequeña placa de factor de forma


DIP de 48 pines construida alrededor de un Xilinx Spartan 6
LX4 FPGA. La placa también incluye una ROM de
programación, fuente de reloj, programación USB y circuito
de transferencia de datos, fuentes de alimentación y
Ilustración 5 dispositivos de E / S básicos. Hay 46 señales de E / S de
Esquema de un display de 7 segmentos FPGA que se encaminan a pernos pasantes de 100 mil
espacia- dos, haciendo que el Cmod S6 sea adecuado para su
1. Display de cátodo común uso con placas de procesamiento sin soldadura. A sólo 0,7
"por 2,6" pulgadas, también se puede cargar en un socket
El display cátodo común tiene todos los ánodos de los diodos estándar y se utiliza en sistemas embebidos [7].
LED unidos y conectados a tierra. Para activar un segmento
de estos hay que poner el ánodo del segmento a encender a
Vcc (tensión de la fuente) a través de una resistencia para
limitar el paso de la corriente.

Ilustración 8
Tarjeta CMOD S6

Ilustración 6
Display en cátodo común

E. VHDL

Describe cualquier sistema digital mediante la definición de


un modelo que proporciona una descripción externa y una o
más descripciones internas del mismo.
Es un lenguaje estándar de programación de alto nivel,
diseñado para describir el comportamiento de circuitos
 Con programación astronómica:
Los seguidores basados en este algoritmo presentan una total
independencia de la incidencia directa de luz, ya que carecen
de sensores fotosensibles. Su movimiento de orientación
depende únicamente de una serie de ecuaciones que predicen
la ubicación del sol en cualquier momento. Suelen tratarse de
seguidores muy precisos pero con alto grado de complejidad a
la hora de la implementación del algoritmo.
La gran variedad de prototipos permite que el uso de los
seguidores de luz sea accesible a grandes y pequeños
consumidores, por lo que cada vez más empresas desarrollan
estos dispositivos con el fin de incorporarlos en aplicaciones
de diversa índole

H. Modulación por ancho de pulsos (PWM)


La Modulación por Ancho de Pulso (PWM o Pulse Width
Modulation) es una forma muy eficaz de establecer cantidades
intermedias de potencia eléctrica entre la máxima potencia y
apagado. Una fuente de alimentación con un interruptor de
alimentación simple proporciona potencia solo cuando está
activado. El PWM es una técnica relativamente reciente que
se ha podido realizar gracias a los modernos interruptores
Ilustración 9 electrónicos de potencia. Una de las aplicaciones típicas del
Pines de la tarjeta CMOD S6 PWM: control de velocidad en motores eléctricos.
G. Seguidor de luz
1. Funcionamiento del servo. Control PWM
No todas las mejoras que han experimentado los sistemas La modulación por anchura de pulso, PWM (Pulse Width
fotovoltaicos engloban mejoras en la composición y pureza de Modulation), es una de los sistemas más empleados para el
los materiales encapsulados, hecho que ha permitido control de servos. Este sistema consiste en generar una onda
optimizar al máximo la captación y transformación de la luz cuadrada en la que se varía el tiempo que el pulso está a nivel
con un menor coste de producción y una mayor eficiencia. alto, manteniendo el mismo período (normalmente), con el
Otras se han centrado en conseguir que estos sistemas sean objetivo de modificar la posición del servo según se desee.
capaces de orientarse y prolongar así el tiempo de captación Para la generación de una onda PWM en un
de luz. Un seguidor de luz es precisamente eso, un dispositivo microcontrolador, lo más habitual es usar un timer y un
conformado básicamente por una parte fija y una móvil, cuya comparador (interrupciones asociadas), de modo que el
finalidad es aumentar la captación de luz, para lo cual, su microcontrolador quede libre para realizar otras tareas, y la
superficie de captación debe permanecer perpendicular a su generación de la señal sea automática y más efectiva. El
dirección de incidencia sea cual sea el punto desde el que esta mecanismo consiste en programar el timer con el ancho del
se irradia. Los seguidores pueden clasificarse en función del pulso (el período de la señal) y al comparador con el valor de
tipo de movimiento que realicen y según el algoritmo de duración del pulso a nivel alto. Cuando se produce una
seguimiento que contengan implementado.[2] interrupción de overflow del timer, la subrutina de
interrupción debe poner la señal PWM a nivel alto y cuando
1.1. Según el tipo de movimiento que sea capaces de se produzca la interrupción del comparador, ésta debe poner
realizar se distinguen: la señal PWM a nivel bajo. En la actualidad, muchos
microcontroladores, como el 68HC08, disponen de hardware
 Seguidor de un solo eje
específico para realizar esta tarea, eso sí, consumiendo los
La rotación de la superficie de captación se hace sobre un solo
recursos antes mencionados (timer y comparador).
eje, ya sea horizontal, vertical u oblicuo. Con un coste menor
en comparación con otros tipos de seguidores y un mínimo
grado de complejidad, el seguidor de un solo eje no puede
realizar un seguimiento completo.
 Seguidor de dos ejes:
Cuenta con dos grados de libertad y permite un seguimiento
total de la luz, tanto en inclinación como en azimut. Este tipo
de seguidor supone una mayor inversión ligada a un mayor Ilustración 10
rendimiento de la instalación. PWM para recorrer todo el rango de operación del servo
1.2. Según el algoritmo de seguimiento implementado se El sistema de control de un servo se limita a indicar en qué
distinguen: posición se debe situar. Esto se lleva a cabo mediante una
 Según luminosidad serie de pulsos tal que la duración del pulso indica el ángulo
Los seguidores con este tipo de algoritmo basan su de giro del motor. Cada servo tiene sus márgenes de
funcionamiento en la señal transmitida por uno o varios operación, que se corresponden con el ancho del pulso
sensores, los cuales envían comandos de control a distintos máximo y mínimo que el servo entiende. Los valores más
periféricos del sistema para llevar a cabo el posicionamiento generales se corresponden con pulsos de entre 1 ms y 2 ms de
en el punto más adecuado de luminosidad. anchura, que dejarían al motor en ambos extremos (0º y
180º). El valor 1.5 ms indicaría la posición central o neutra Más concretamente, se trata de resistencias cuyo grado de
(90º), mientras que otros valores del pulso lo dejan en resistividad es inversamente proporcional al valor de la
posiciones intermedias. Estos valores suelen ser los energía luminosa incidente. Así, las fotorresistencias
recomendados, sin embargo, es posible emplear pulsos reducirán su valor en presencia de rayos luminosos y
menores de 1 ms o mayores de 2 ms, pudiéndose conseguir aumentará a medida que estos desaparezcan o disminuya su
ángulos mayores de 180°. Si se sobrepasan los límites de intensidad. El rango de valores resistivos se situará entre 50Ω
movimiento del servo, éste comenzará a emitir un zumbido, - 100Ω bajo luz brillante y 10MΩ en total oscuridad. [8]
indicando que se debe cambiar la longitud del pulso. El factor Si bien se trata de componentes electrónicos económicos y
limitante es el tope del potenciómetro y los límites mecánicos fáciles de usar, no se caracterizan por la exactitud en sus
constructivos.[2] mediciones. Estas no sólo se ven influenciadas por el material
El período entre pulso y pulso (tiempo de OFF) no es crítico, del que esté constituida la fotorresistencia y la luz radiada,
e incluso puede ser distinto entre uno y otro pulso. Se suelen sino también de la temperatura ambiente a la que esté
emplear valores ~ 20 ms (entre 10 ms y 30 ms). Si el expuesta la misma. Por ello, el correcto uso de las
intervalo entre pulso y pulso es inferior al mínimo, puede fotorresistencias deberá estar enfocado a la detección de
interferir con la temporización interna del servo, causando un presencia de luz y la identificación del grado de intensidad
zumbido, y la vibración del eje de salida. Si es mayor que el con la que es radiada, nunca como luxómetro (medidor de
máximo, entonces el servo pasará a estado dormido entre luz)
pulsos. Esto provoca que se mueva con intervalos pequeños.
Es importante destacar que para que un servo se mantenga en 1. Factores que influyen en la sensibilidad
la misma posición durante un cierto tiempo, es necesario
enviarle continuamente el pulso correspondiente. De este 1.1. Temperatura
modo, si existe alguna fuerza que le obligue a abandonar esta
posición, intentará resistirse. Si se deja de enviar pulsos (o el El valor óptimo de funcionamiento de la mayoría de
intervalo entre pulsos es mayor que el máximo) entonces el
servo perderá fuerza y dejará de intentar mantener su
posición, de modo que cualquier fuerza externa podría componentes electrónicos es 25⁰.
desplazarlo

Cualquier variación de esta temperatura puede alterar el


comportamiento de los mismos o hacer fluctuar las
mediciones que estén llevando a cabo. Este es el caso de las
fotorresistencias.
En la ilustración 10 se observa cómo, a diferentes niveles de
luminosidad constante (expresados en fc1), este fenómeno
determina, de manera directa, la sensibilidad de la
fotorresistencia.[3]

Ilustración 11
Tren de pulsos para control servo

Ilustración 13
Relacion resistencia-Temperatura LDR tipico

1.2. Longitud de onda de la luz

Su reacción consistirá en la variación de su sensibilidad según


sea este factor. Aunque depende del modelo de
Ilustración 12 fotorresistencia y su composición, éstas experimentan un
Otra posibilidad de pulsos de control mayor nivel sensibilidad con cierto valor de longitud de onda,
respecto al resto del espectro luminoso. Este pico de
sensibilidad, para fotorresistencias que trabajan en el espectro
I. Fotorresistencias
visible (compuestas por CdS)
Una fotorresistencia o LDR (Light Dependent Resistor) es un
componente electrónico constituido por una resistencia cuyo
valor óhmico depende de la energía luminosa incidente.
El funcionamiento de este tipo de componentes se basa
en el efecto fotoeléctrico interno, consistente en la
elevación de los portadores de carga en un semiconductor
desde la banda de valencia hasta la banda de conducción
al incidir sobre él cierta energía en forma de radiación
electromagnética.
En la tabla 1 se muestra la Energía umbral límite y la
Longitud de onda máxima para diversos materiales
fotoconductores intrínsecos.

Material Energia umbral Longuitud de onda


Ilustración 14 limite (eV) máxima (um)
Relación Respuesta espectral - Longitud de onda, LDR típico
CdS 2.40 0.52
2. Clasificación CdSe 1.80 0.69
A diferencia de la amplia y compleja familia de sensores PbS2 0.37 3.35
fotoeléctricos de la que forman parte, las fotorresistencias Si 1.12 1.10
constituyen un conjunto de componentes muy sencillos y, Ge 0.67 1.85
entre todos ellos, de carácter muy homogéneo a pesar de la Tabla 1
multitud de modelos y fabricantes que existen en la actualidad Valores umbrales efecto fotoeléctrico
y la variedad que esto supone.
Las fotorresistencias es posible clasificarlas en dos tipos: 3.1. Descripción

 LDR lineales. Son fotodiodos, sensibles a la luz  La fotorresistencia LDR GL5528 es un sensor
incidente. Aunque estos dispositivos no son fotoeléctrico capaz de proporcional valores de
fotorresistencias propiamente dichas, pueden ser resistencia de 8kΩ – 20kΩ a 1MΩ ante luz brillante
tratados como tal cuando se polarizan inversamente, o ausencia de luz.
ya que generan una cierta corriente proporcional a la  De muy pequeño tamaño y precio reducido, se trata
cantidad de luz con la que son excitados, que de un componente ideal para detectar la presencia y
circulará con el positivo en el ánodo y el negativo en nivel de iluminación irradiada sobre ella.
el cátodo, es decir, en sentido opuesto a la flecha del  Está compuesta por una célula foto-receptora, que
diodo. Así, en ausencia de energía luminosa exterior posee como material fotoconductor el sulfuro de
la corriente generada será escasa, siendo mayor ante Cadmio (CdS), y dos patillas, que constituyen los
luz potente. El símbolo del fotodiodo se muestra en terminales de conexionado.
la ilustración 12. [3]

Ilustración 15
Fotodiodo Ilustración 17
Si el fotodiodo es polarizado en directa y, por lo tanto, la LDR GL5528
corriente circula desde el ánodo al cátodo, la incidencia de luz
no tendría ningún efecto sobre él y se comportaría como un 3.2. Caracteristocas tecnicas
diodo semiconductor convencional. El símbolo del diodo se
muestra en la ilustración 13. Voltaje maximo 150(DC)
Consumo energia maximo 100mW
Temperatura de trabajo -30° +70°
Pico espectral 540nm
Resistencia luz brillante 8kΩ-20kΩ(10lux)
Ilustración 16 Resistencia luz oscura 1MΩ(0lux)
Diodo Gamma 0.7
Tiempo de respuesta 25ms
 LDR no lineales. Son las fotorresistencias
Tabla 2
propiamente dichas. En oposición a las lineales, las
Especificaciones tecnicas.
LDR no lineales no ven afectado su comportamiento
al cambiar la polarización del mismo. 4. Acondicionamiento de la señal
3. Principio de funcionamiento Los acondicionadores de señal son utilizados como circuito de
medida o sistemas de control para el tratamiento de señales
procedentes de un sensor, convirtiéndola en un formato
adecuado para su interpretación posterior. Las
fotorresistencias son componentes que generan, por sí
mismas, como señal final, un valor de resistencia, pero esta
señal no es interpretable por ningún microcontrolador. Por
ello, se requiere de un acondicionar de la señal que permita
convertir ese valor resistivo en un valor de voltaje fácilmente
interpretable. Aunque existen muchos tipos de circuito de
acondicionamiento (puente de Wheatstone, potenciómetro,
amplificador de la señal, etc.), el requerido en este caso, por
su sencillez y fácil implementación, será el divisor de tensión,
también conocido como divisor de voltaje.

Divisior de tension Ilustración 19


Un divisor de tensión es una configuración de circuito que Situacion LDR (a)
reparte la tensión de una fuente entre distintas impedancias
conectadas en serie. Los divisores de tensión pueden ser En caso de conectar la fotorresistencia más próxima a tierra
resistivos, formados por impedancias puramente resistivas (GND), el comportamiento será el opuesto: cuanta mayor sea
(resistencias), o capacitivos, constituido por impedancias la incidencia de luz (menor valor de resistencia), mayor será
capacitivas (condensadores) la diferencia de potencial.

Ilustración 18
Divisor de tension
Ilustración 20
Situaacion LDR (b)
(1)
Tanto la primera opción como la segunda son completamente
Se requiere de la participación de una resistencia y la LDR válidas, pero la preferencia por un comportamiento más
seleccionada. La disposición de ambas y el valor de la intuitivo, en el que el valor del voltaje sea mayor cuanto
primera pueden hacer variar el voltaje de salida, el cual será mayor sea la cantidad de luz radiada, lleva a la
proporcionado a la tarjeta Cmod S6 por uno de sus pines implementación de la primera de las opciones propuestas
analógicos, para su posterior interpretación. Por ello es Por otro lado, el valor de la resistencia R influirá en la
preciso conocer las alternativas existentes. Las posibilidades reducción del valor de voltaje de salida, es decir, en que la
para la disposición de ambos componentes dentro del divisor diferencia de potencial entre la fuente y el Arduino sea mayor
de tensión son decisivos según cuál sea la magnitud del cuanto mayor sea el valor resistivo de esta. En este punto,
voltaje de salida que se desea proporcionar. Así, según la antes de la elección del valor de la resistencia, se debe tener
situación de la fotorresistencia dentro del divisor, el voltaje en cuenta que el valor del voltaje final únicamente será
será directa o inversamente proporcional a la cantidad de luz utilizado como referencia y a modo comparativo entre otros
incidente sobre la misma. Si la conexión de la fotorresistencia valores para distinguir en qué momento/punto se está
se realiza en la posición más próxima a la fuente de recibiendo más luz y así mandar ordenes al resto del
alimentación (ver Figura 19), cuanta más luz incida sobre dispositivo para la consecución de una determinada acción.
esta (menor valor de resistencia), menor será la diferencia de Por ello, el valor de la resistencia R no es un aspecto que
potencial que existirá entre la fuente de alimentación y la pueda limitar el correcto funcionamiento de estos
tarjeta Cmod S6[4] componentes ni del resto del dispositivo, y, por supuesto, no
supondría ningún problema funcional para la tarjeta Cmod
S6.

J. Servomotores
Un servomotor es un dispositivo similar a un motor de
corriente continua, que tiene la capacidad de ubicarse en
cualquier posición dentro de su rango de operación y
mantenerse estable en dicha posición.
Este tipo especial de motor es utilizado para obtener una
salida precisa y exacta en función del tiempo, siendo
expresada habitualmente en términos de posición, velocidad
y/o par. Esto se traduce, a nivel práctico, en la capacidad de
ser controlado, para ubicarse, como ha sido mencionado, de motor en cada posición dependiendo del tiempo en el que el
forma inmediata y estable en cualquier posición. pulso inyectado permanece en ‘alto’ (ciclo de trabajo),
Típicamente, el rango o intervalo de operación de los teniendo en cuenta la existencia de restricciones técnicas de
ancho mínimo y máximo de pulso. Así, por ejemplo, el
comportamiento de un servo analógico que disponga de un
servomotores solía situarse entre los 0⁰ y los 180⁰, lo que ancho mínimo de pulso de 0,5 milisegundos y uno máximo de
2,5 milisegundos, responderá situándose en su posición

suponía, y sigue suponiendo para la mayoría de ellos, una


limitación interna que les impedía realizar un giro completo mínima (0⁰) o su posición máxima (90⁰ o 180⁰ dependiendo
durante su movimiento. Sin embargo, hoy en día esta
limitación ha sido salvada con la aparición servomotores que
de las características del motor) según sea, respectivamente,
uno u otro el tiempo aproximado en el que el pulso
permiten 360⁰ como ángulo de giro. Por la facilidad de crear permanezca en ‘alto’. Tiempos de pulsos entre los valores
fijados permitirán posicionamientos intermedios.[4]

toda clase de movimientos de forma controlada y con alta


precisión, los servomotores suponen el tipo de motor de
aplicación más extendida en el mundo de la robótica y en sus
innumerables proyectos.

1. Clasificacion
En la actualidad, como ocurre con la mayoría de los
componentes electrónicos, las posibilidades que ofrece el
mercado de servomotores han alcanzado un punto de máxima
diversificación, existiendo un tipo de servomotor para cada
necesidad o aplicación. Así, existen servos hidráulicos, servos
basados en corriente alterna empleados en sistemas de gran
potencia, o basados en motores de corriente continua más
utilizados en robots industriales, sistemas de producción, etc. Ilustración 21
Pero no siempre ha sido de este modo. Hasta hace Posicionamiento servo motor analogico según ciclo de trabajo
relativamente poco tiempo, la clasificación de los
servomotores atendía únicamente a criterios de tamaño, El periodo (T) o tiempo de separación entre pulsos está fijado
donde los más pequeños se requerían para aplicaciones donde por la frecuencia (f o número de oscilaciones por segundo)
el peso podía llegar a ser un aspecto crítico (aviones, pinzas que permite el motor atendiendo a la siguiente expresión:
de robots, etc.), y los más grande para aquellas en las que se
necesitaba el aporte de mucha potencia (coches 4x4, barcos,
etc.). Hoy en día, el tamaño no denota potencia o (2)
funcionalidad, al ser posible encontrar sustitutos de los De este modo, un motor con una frecuencia típica de 50Hz, es
servos más potentes en tamaños estándar. Entre los muchos decir, 50 pulsos por segundo, enviará pulsos cada 20ms.
criterios de clasificación de servomotores que existen
actualmente, uno de los más comunes los agrupa atendiendo a 1.3. Servo motor digital
su sistema de control interno, diferenciando entre Mientras que los servomotores analógicos basan su
servomotores analógicos y digitale funcionamiento en las medidas de control llevadas a cabo por
un circuito integrado completamente analógico, la placa de
1.1. Servmotores Analogicos y Digitales control que los servomotores digitales tienen instalada,
contiene agregado un microprocesador que se hace cargo del
A nivel de usuario, los servomotores analógicos y los digitales análisis y procesamiento de la señal PWM de entrada y del
son idénticos tanto a nivel estructural (ver apartado 5.2.2.1.1) control del motor mediante pulsos con una frecuencia 10
como a nivel funcional (ver apartado 5.2.2.1.2), hasta el veces superior a los servos analógicos, es decir, en un mismo
punto de poder ser sustituidos unos por otros sin causar lapso de tiempo, pueden recibir 5 o 6 veces más pulsos. Esto
ningún problema en la aplicación. Para poder establecer el favorece una mayor rapidez ante los cambios en la señal,
aspecto diferenciador entre ambos es preciso entender la mejoras en el rendimiento electromagnético (mayor velocidad
estructura y funcionamiento de ambos. y fuerza), unos tiempos de respuesta menores, un aumento en
la resolución del movimiento y del posicionamiento y una
1.2. Servo motor Analogico mayor suavidad en la aceleración/deceleración, pero conlleva
De la electrónica o estructuración interna de los servomotores un mayor consumo de energía como consecuencia de la
analógicos, y de los servomotores en general, radican las generación de un mayor número de pulsos en un mismo ciclo
limitaciones y modo de funcionamiento de los mismos. de trabajo.En la ilustracion 19se observa el comportamiento,
Aunque los servomotores analógicos y digitales tengan como a modo comparativo, de los dos tipos de sensores analizando
base de su sistema de control las señales PWM, el hecho de el número de pulsos que es capaz de producir en un mismo
no disponer del microprocesador obliga a un tratamiento algo
distinto. [17] La electrónica del servo analógico coloca el
lapso de tiempo para la generación del mismo movimiento de Servomotor
rotación del eje de salida[5] 3. Funcionamiento
La integración de un microprocesador en el circuito de
control de los servomotores digitales influye en cómo las
señales son enviadas al servo y en cómo son procesadas
posteriormente, pero el funcionamiento básico de ambos es el
mismo. El ciclo de trabajo del servomotor comienza con el
voltaje y la información transmitida a través de los cables de
conexionado (véase sección 5.4.2.1). Por un lado, el cable de
voltaje proporciona al motor la tensión suficiente para que
éste recorra la distancia necesaria hasta alcanzar el ángulo
solicitado. Se trata de un control proporcional ya que la
tensión suministrada dependerá directamente del recorrido
que necesite realizar el motor. Generalmente, esta tensión
suele variar, según el servo, entre 4.8V y 7.2V.Por otro lado,
el cable de control se usa para comunicar el ángulo, que está
Ilustración 22 determinado por la duración del pulso que se aplica. A esto se
Comparacion ciclo de trabajo servomotores analogicos y digitales le conoce como señal PWM (Pulse Width Modulation). La
modulación por ancho de pulso (PWM) como sistema
Otra de las ventajas que desmarcan a los servomotores empleado en los servos para modificar la posición angular de
digitales es la amplia opción de control que proporcionan a los mismos, consiste en generar una onda cuadrada en la que
través de parámetros que en los servomotores analógicos son
fijos: sentido de giro, posición central inicial, topes en el
recorrido del servo, velocidad de respuesta y resolución. Por varíe el tiempo en el que el pulso está a nivel más alto (𝑇ON)
lo comentado anteriormente, las mejoradas prestaciones que
proporcionan los servos digitales a nivel funcional, hacen que
sea la mejor opción

2. Partes manteniendo el mismo periodo (𝑇𝑇). La relación entre ambos


Las partes principales que constituyen la estructura de los
servomotores analógicos y digitales y su función en los
mismos, son las siguientes: parámetros es el ciclo de trabajo:
 Engranajes reductores. Juego de engranajes
integrados en la estructura con la finalidad de
reducir la alta velocidad de giro del motor y
aumentar su torque.
 Potenciómetro. Hace las veces de sensor de
desplazamiento. Se encuentra conectado al eje
central del motor, es decir el eje de salida del
servomotor. Este potenciómetro posibilita a la Ilustración 24
circuitería de control, conocer la posición angular Señal pwm con cliclo de trabajp 50%
actual del servomotor.
 Circuito de control. Placa electrónica que Cada servo tiene sus márgenes de operación, que se
implementa la estrategia de control del corresponden con el ancho de pulso máximo y mínimo que el
posicionamiento del motor por retroalimentación. servo entiende y es capaz de interpretar. Los valores límites
Este es el punto constructivo en el que ambos tipos más generales y recomendados por los fabricantes, se
de servomotores difieren, ya que, únicamente los corresponden con pulsos de tiempo ON de duración entre 1ms
servos digitales disponen de un microcontrolador
integrado en el mismo. Esto les proporciona ventajas
funcionales sobre los servos analógicos. y 2ms que marcan los límites 0⁰ y 180⁰. Así, por ejemplo, un

valor de pulso de 1.5ms situará al servo en una posición

angular de 90⁰. Si los límites de movimiento del servo son

sobrepasados, éste emitirá un zumbido indicando la longitud


de pulso debe ser cambiada. El factor limitante lo establece el
potenciómetro y los límites mecánicos constructivos
En el funcionamiento del servomotor tiene especial
importancia la labor del potenciómetro o resistencia variable.
Ilustración 23 Este se encuentra conectado al eje central del servo y permite
a la circuitería de control supervisar el ángulo actual en el que
se encuentra posicionado. Si el eje está situado en el ángulo
correcto, es decir, en el ángulo de referencia de entrada,
entonces el motor permanecerá apagado. En caso contrario, el
circuito chequeará que el ángulo no es correcto y activará el
movimiento del motor en la dirección adecuada hasta
alcanzar el ángulo deseado. En la mayoría de los servos, su

eje puede rotar con un máximo movimiento angular de 180⁰,


Ilustración 25
Disposicion final servomotores

5. Servomotor digital HDKJ D3609


que varía según fabricante y puede llegar a los 210⁰. 5.1. Descripcion
 Servomotor HDKJ D3609 es un servo digital con un

Por su parte, el circuito de control del servo analiza y procesa


la señal PWM recibida enviando las órdenes correspondientes ángulo máximo de giro de 180⁰.
al motor de corriente continua para su posicionamiento.
Finalmente, y como último paso en el ciclo de trabajo de los
servomotores, se encuentran el juego de engranajes, cuya  Está compuesto por un juego de engranajes, un
función es reducir la velocidad de giro que ha alcanzado el motor de corriente continua, un potenciómetro, un
motor, por las sucesivas acciones del microcontrolador, y circuito integrado y cables de conexionado
aumentar su Torque o fuerza rotacional.  Consta de 3 cables (marrón, rojo y amarillo) que
deben estar perfectamente conectados para asegurar
4. Selección del motor el correcto funcionamiento del servomotor.
Como ocurre prácticamente con todos los componentes
electrónicos, resulta muy difícil justificar el porqué de la
elección de un modelo concreto de servomotor entre todos los
disponibles en el mercado. Primeramente es necesario tener
claro qué tipo de servo se necesita o, en su defecto, que
prestaciones se requieren para su incorporación en el sistema
del que formará parte. En el caso de una elección entre
servomotores analógicos o digitales, y aunque por su similitud
estructural y funcional ambos serían opciones adecuadas,
contar con un motor capaz de posicionarse de manera suave y
precisa y con un tiempo de respuesta pequeño, supone contar Ilustración 26
con un componente de excelente características técnicas que Servomotor HDKJ D3609
facilitará su labor encomendada con movimientos limpios y 5.2. Caracteristicas
exactos según ordenes de posicionamiento transmitidas. Esto Cables de conexión
hace pensar en que un servomotor digital sería la mejor de las Rojo Alimentacion (Vcc)
Marron Masa(GND)
opciones. Seguidamente, sin olvidar que las acciones el
Amarillo Señal controlada PWM que
servomotor estarán gobernadas por las decisiones determine la opcion
programadas en Arduino, es precisa un perfecta integración Tabla 3
entre ambos componentes, la implementación hardware y Especificaciones cables deconexion para HDKJ D3609
software de un servomotor digital compatible con Arduino
UNO. Por ello, el servomotor elegido, que recoge estos Generales
requerimientos, es servomotor digital HDKJ D3609. Dado Voltaje de trabajo 4.8v-7.2v
que cada uno de estos motores permite un movimiento en un Corriente de servicio 100mA
único sentido u orientación y, teniendo en cuenta, que unos de Corriente de arranque 250mA
los aspectos fundamentales del Trabajo es dotar al sistema. Velocidad 0.16s/60°(6v)
De la capacidad de seguimiento, se requiere que tenga al Torque 0.14s760°(7.2v)
menos dos grados de libertad, lo que se traduce en dos Angulo maximo 9kg(6v)
motores con dos direcciones de funcionamiento distintas Longuitud de cable 180°
(azimutal y vertical). Con esta decisión se permitirá que el Tamaño 320mm
dispositivo realice un barrido del máximo espacio como Tabla 4
posibiliten sus propias limitaciones físicas, en busca del punto Especificaciones tecnicas HDKKJ D3609
de mayor luminosidad. En la Figura 31 se muestran, en dos
ilustraciones, la estructura del dispositivo donde se albergarán K. Señal de control para servomotores con VHDL
los servomotores y la posibilidad de giro que ofrecerán.[6]
1. Control de un servomotor
Un servomotor no es más que un motor de corriente directa
con una tarjeta electrónica adjunta para facilitar su control.
Para dicho control es necesario generar un pulso como se frecuencia. La cantidad de estados hace referencia al número
muestra en la en la ilusracion 27.[7] de ciclos de trabajo disponibles. Por ejemplo, un circuito
encendido-apagado convencional tiene solamente esos dos
estados.

MATERIALES

Item Cant Materiales Descripcion


1 1 ADC0808 0808
2 1 DAC0808 0808
3 8 Resistencias 220 Ω
4 8 Diodos LED
5 1 Amplificador operacional LM741
Ilustración 27 6 3 Resistencias 470 Ω
Ancho de pulso para controlar un servomotor 7 1 Capacitor 104
8 1 Cable Multipac
La duración o frecuencia del pulso determina la posición en la 9 4 Fotorresistencias
que se debe situar el motor. Cada servomotor tiene su propio 10 2 Motor servo
rango de frecuencias, determinadas por el fabricante en la Tabla 5
hoja de datos. Materiales
Item Cant Intrumentos
2. Diseño del control
La señal de control para el servomotor se compone de dos 1 1 Protoboard
frecuencias: 2 1 Multimetros;analogico y digital
 Frecuencia de actualización de 20ms. 3 1 Fuente de voltaje variable de 0 a 30V
 Ancho de pulso que controla la posición del 4 2 Generador de señales
servomotor, provista por el fabricante. Para este 5 1 Lote de vaios materales de coneccion
ejemplo, asumiremos que la frecuencia va de 0.5 a 6 1 Osciloscopio
2.5ms. Tabla 6
Rango de operación Instrumentos

III. PROGRAMACIÓN

Resolución del motor A. Control del servomotor


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
(3)
entity control_servo is
PORT(
3. Ancho de pulso
clk : IN STD_LOGIC;
Para el control de la velocidad de un motor de corriente
reset: IN STD_LOGIC;
directa se utiliza la modulación del ancho de pulso (PWM -
pos : IN STD_LOGIC_VECTOR(7 downto 0);
Pulse Width Modulation) de una señal cuadrada. Con este
servo: OUT STD_LOGIC);
método de control, el motor gira a una velocidad determinada
end control_servo;
por el tiempo en alto de la señal (véase la ilustración 28).
architecture Behavioral of control_servo is

COMPONENT servo_clk
PORT(
entrada: in STD_LOGIC;
reset : in STD_LOGIC;
salida : out STD_LOGIC);
END COMPONENT;

COMPONENT servo_pwm
PORT (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
Ilustración 28 pos : IN STD_LOGIC_VECTOR(7 downto 0);
Moduacion de ancho de pulso servo : OUT STD_LOGIC
Existen dos conceptos clave para la modulación de la señal: );
frecuencia y cantidad de estados. La frecuencia puede ser END COMPONENT;
manipulada de manera externa utilizando un divisor de
signal clk_out : STD_LOGIC := '0'; END COMPONENT;

begin signal ain, bin: std_logic_vector (7 downto 0);


signal cin: std_logic_vector (20 downto 0);
clk64kHz_map: servo_clk PORT MAP (clk, reset, clk_out);
servo_pwm_map: servo_pwm PORT MAP (clk_out, reset, begin
pos, servo);
ain<=a;
end Behavioral; bin<=b;

uut0: comparador_simple1 PORT MAP (


a => ain(0),
b => bin(0),
c => c,
mayor => cin(20),
menor => cin(19),
igual => cin(18)
);
uut1: comparador_simple1 PORT MAP (
a => ain(1),
b => bin(1),
c => cin(20 downto 18),
mayor => cin(17),
menor => cin(16),
igual => cin(15)
Ilustración 29
);
Control principal servomotor
uut2: comparador_simple1 PORT MAP (
a => ain(2),
b => bin(2),
c => cin(17 downto 15),
mayor => cin(14),
menor => cin(13),
igual => cin(12)
);
uut3: comparador_simple1 PORT MAP (
a => ain(3),
Ilustración 30 b => bin(3),
Control secundario servomotor c => cin(14 downto 12),
mayor => cin(11),
B. Comparador 2bits menor => cin(10),
library IEEE; igual => cin(9)
use IEEE.STD_LOGIC_1164.ALL; );
uut4: comparador_simple1 PORT MAP (
entity comparador_8_bits1 is a => ain(4),
Port ( b => bin(4),
a: in std_logic_vector (7 downto 0); c => cin(11 downto 9),
b: in std_logic_vector (7 downto 0); mayor => cin(8),
c: in std_logic_vector (2 downto 0); menor => cin(7),
mayor: out std_logic; igual => cin(6)
menor: out std_logic; );
igual: out std_logic); uut5: comparador_simple1 PORT MAP (
end comparador_8_bits1; a => ain(5),
b => bin(5),
architecture Behavioral of comparador_8_bits1 is c => cin(8 downto 6),
mayor => cin(5),
COMPONENT comparador_simple1 menor => cin(4),
PORT( igual => cin(3)
a : IN std_logic; );
b : IN std_logic; uut6: comparador_simple1 PORT MAP (
c : IN std_logic_vector(2 downto 0); a => ain(6),
mayor : OUT std_logic; b => bin(6),
menor : OUT std_logic; c => cin(5 downto 3),
igual : OUT std_logic mayor => cin(2),
); menor => cin(1),
igual => cin(0)
);
uut7: comparador_simple1 PORT MAP (
a => ain(7),
b => bin(7),
c => cin(2 downto 0),
mayor => mayor,
menor => menor,
igual => igual Ilustración 32
); Reloj 200Hz
D. Contador de 8bits
end Behavioral;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;

entity contador_8_2 is
port(CLK, CLR : in std_logic;
Q : out std_logic_vector(7 downto 0));
end contador_8_2;

architecture Behavioral of contador_8_2 is


Ilustración 31
Comparador 2bis signal tmp: std_logic_vector(7 downto 0);
C. Reloj 200Hz
begin
library IEEE;
use IEEE.STD_LOGIC_1164.ALL; process (CLK)
begin
entity reloj_200Hz is if (CLK'event and CLK='1') then
Port ( if (CLR='1') then
entrada: in STD_LOGIC; tmp <= "00000000";
reset : in STD_LOGIC; else
RELOJ1, RELOJ2: out STD_LOGIC tmp <= tmp + 1;
); end if;
end reloj_200Hz; end if;
end process;
architecture Behavioral of reloj_200Hz is
Q <= tmp;
signal temporal: STD_LOGIC;
signal contador: integer range 0 to 399:= 0; end Behavioral;
begin

divisor_frecuencia: process (reset, entrada) begin


if (reset = '1') then
temporal <= '0';
contador <= 0;
elsif rising_edge(entrada) then
if (contador = 399) then
temporal <= NOT(temporal);
contador <= 0; Ilustración 33
else Comparador
contador <= contador+1; E. Decodificador
end if;
library IEEE;
end if;
use IEEE.STD_LOGIC_1164.ALL;
end process;
entity dec_displs is
RELOJ1 <= temporal;
Port ( m : in STD_LOGIC_VECTOR (7 downto 0);
RELOJ2 <= temporal;
n : out STD_LOGIC_VECTOR (13 downto 0));
end dec_displs;
end Behavioral;
architecture Behavioral of dec_displs is
COMPONENT decodificador c: in std_logic_vector (2 downto 0);
PORT( mayor: out std_logic;
i : IN std_logic_vector(3 downto 0); menor: out std_logic;
Num: OUT std_logic_vector(6 downto 0) igual: out std_logic);
); end COMPONENT comparador_8_bits1;
END COMPONENT;
----RELOJ ADC
begin
COMPONENT reloj_200Hz is
uut0: decodificador PORT MAP (i => m(3 downto 0), Port (
Num=> n(6 downto 0)); entrada: in STD_LOGIC;
uut1: decodificador PORT MAP (i => m(7 downto 4), reset : in STD_LOGIC;
Num=> n(13 downto 7)); RELOJ1, RELOJ2: out STD_LOGIC
);
end Behavioral; end COMPONENT reloj_200Hz;

----CONTADOR 2

component contador_8_2 is
port(CLK, CLR : in std_logic;
Q : out std_logic_vector(7 downto 0));
end component contador_8_2;

Ilustración 34 ----SERVO1
Esquema principal Decodificador
COMPONENT control_servo is
PORT(
clk : IN STD_LOGIC;
reset: IN STD_LOGIC;
pos : IN STD_LOGIC_VECTOR(7 downto 0);
servo: OUT STD_LOGIC
);
end COMPONENT control_servo;

----SERVO2

COMPONENT control_servo1 is
PORT(
Ilustración 35 clk : IN STD_LOGIC;
Esquema secundario decodificador
reset: IN STD_LOGIC;
F. Programa completo pos : IN STD_LOGIC_VECTOR(7 downto 0);
library IEEE; servo: OUT STD_LOGIC_vector(7 downto 0));
use IEEE.STD_LOGIC_1164.ALL; end COMPONENT control_servo1;

entity PROGRAMA_COMPLETO is ----DECODIFICADOR


Port ( ADC1 : in STD_LOGIC_VECTOR (7 downto 0);
ADC2: in STD_LOGIC_VECTOR (7 downto 0); component dec_displs is
S : out STD_LOGIC; Port ( m : in STD_LOGIC_VECTOR (7 downto 0);
Selector: in STD_LOGIC; n : out STD_LOGIC_VECTOR (13 downto 0));
S1: out STD_LOGIC_VECTOR (2 downto 0); end component dec_displs;
clock, AmaB, AmeB, AiguB: in std_logic;
reset: in STD_LOGIC; ----CONTROL DECOS
Reloj1, Reloj2 : out STD_LOGIC;
Display: out STD_LOGIC_VECTOR(13 downto 0)); component control_2b is
end PROGRAMA_COMPLETO; Port ( selec : in STD_LOGIC;
architecture Behavioral of PROGRAMA_COMPLETO is D1 : in STD_LOGIC_VECTOR(13 DOWNTO 0);
D2 : in STD_LOGIC_VECTOR(13 DOWNTO 0);
----COMPARADOR2 outf : out STD_LOGIC_VECTOR(13 DOWNTO 0));
end component control_2b;
COMPONENT comparador_8_bits1 is
Port (
a: in std_logic_vector (7 downto 0); signal Clear, Res: std_logic;
b: in std_logic_vector (7 downto 0); signal ACom, Aini, BCom, ACom1, BCom1:
std_logic_vector(7 downto 0);
signal sj,sk: std_logic_vector(13 downto 0);

begin

Clear<=clock;
Res<=reset;
Aini<=ADC1;

U1: control_servo PORT MAP(clk=>Clear,reset=>Res,


pos=>Aini, servo=>S);
U2: control_servo1 PORT
MAP(clk=>clock,reset=>reset,pos=>ADC2,servo=>ACom1); Ilustración 38
Com_8_2: comparador_8_bits1 PORT Divisor de voltaje para las fotoceldas
MAP(a=>ACom1,b=>BCom1,c(2)=>AmaB,c(1)=>AmeB,c(0
)=>AiguB,mayor=>S1(2),menor=>S1(1),igual=>S1(0));
RE: reloj_200Hz PORT MAP (entrada=>Clear,
reset=>Res, RELOJ1=>Reloj1, RELOJ2=>Reloj2);
CON_8_2: contador_8_2 PORT
MAP(CLK=>Clear,CLR=>Res,Q=>BCom1);
DECO1: dec_displs PORT MAP(m=>ADC1,n=>sj);
DECO2: dec_displs PORT MAP(m=>ADC2,n=>sk);
CTRL: control_2b PORT
MAP(selec=>Selector,D1=>sj,D2=>sk,outf=>Display);

end Behavioral;

Ilustración 39
Estructura utilizada para el movimiento de los servomotores

Ilustración 36
Esquemático programa completo

Ilustración 40
Visualización 2 grados de libertad

IV. CONCLUSIONES
 Al utilizar la fotorresistencia (LDR) observamos que
es un componente que hace variar su resistencia
dependiendo de la luz visible, ya que este tiene una
Ilustración 37 fotorresistencia que es sensible a la luz. Como ya
ADC utilizado en la práctica
sabemos que la resistencia es una propiedad de los
materiales que impide el flujo de la corriente
eléctrica por él, entre mayor sea la resistencia, mayor
ser la oposición al flujo eléctrico
 La precisión del movimiento de orientación está
limitada a la cantidad de luz que recibe y la
orientación.
 La señal de entrada posee un vector con valores de 0 sus estudios los realizo en el colegio Alessandro Volta, actualmente estudia en la
a 127, que dan el rango de 0ms a 2ms. Así que es Universidad Nacional de Chimborazo
necesario sumar un total de 32 a la señal para
generar un pulso de 0.5ms a 2.5ms. La señal de
salida estará activa solamente cuando el valor de la
señal pwm sea menor que el valor del contador.
 Se construyó un Sistema Posicionador con dos
grados de libertad, por manipulación de dos
servomotores y una interfaz para que la tarjeta Cmod
s6 controlara el movimiento de un servomotor,
aunque también se pueden colocar en paralelo para
probar ambos motores.
 Para mover la frecuencia de la señal modulada se
utilizó un divisor de frecuencia como entrada del
componente de PWM mediante el uso de un módulo
principal y la instrucción PORT MAP.
 Por medio de VHDL es posible la descripción de
circuitos con elementos lógicos, además de su fácil
implementación ya que por medio del software ISE
se realiza de forma fácil la creación de proyectos
digitales.
 Si a un servomotor con tope se le conecta a la
terminal de control una señal de PWM se le puede
controlar la posición. En caso de que el servomotor
no tenga tope, entonces mediante el uso de una señal
de PWM se le puede controlar la velocidad y el
sentido de giro.

REFERENCIAS
[1] T. L. Floyd, Fundamentos de Sistemas Digitales, Madrid: PEARSON
EDUCACIÓN S.A, 2006.
[2]G. MORENO and F. MARTÍNEZ, “Mediciones industriales,”
Fotorresistencias,200[online].Available.http://martinezmorenomedicionesind.blo
gspot.com.es/2007/06/fotoresistencialdr_16.html.
[3] J. A. CORRALES RAMÓN and F. A. CANDELAS HERÍAS,
“Servomotores,” Universidad de Alicante, 2007.
[4] C. BRAVO GRANADINO, E. LABARCA FUENTES, C. LEÓN
SOLEDISPA, J. ULLOA SUÁREZ, and J. L. VALENZUELA LOBOS, “El Bus
I 2 C: Protocolo Y Metodo De Comunicacion,” vol. 1, p. 11, 1992.
[5] Jaramillo J. A., Salmerón M., Godoy R. “Control de posición de un
servomotor utilizando la tarjeta de desarrollo Nexys II y VHDL”. Boletín
UPIITA No. 29. Publicación del 30 de noviembre del 2011.
[6] Lin, C. M., & Hsu, C. F. (2004). Adaptive fuzzy sliding-mode control for
induction servomotor systems. IEEE Transactions on Energy Conversion, 19(2),
362-368.
[7] Wai, R. J., & Lin, F. J. (1999). Fuzzy neural network sliding-mode position
controller for induction servo motor drive. IEE Proceedings-Electric Power
Applications, 146(3), 297-308.

BIOGRAFÍA

Jacqueline C. Guailla Muñoz Nací en Riobamba, curse


mis estudios en el Instituto Tecnológico Superior
Riobamba, hoy en día me encuentro cursando mis estudios
de Ingeniería electrónica y telecomunicaciones en la
Universidad Nacional de Chimborazo.

Karla E. Muyulema Yumiseba tengo 22 años de edad soy


de ciudad de Guaranda estudio en la ciudad de Riobamba
en la Universidad Nacional de Chimborazo curso el cuarto
semestre en la carrera de Ing. Electrónica y
Telecomunicaciones.

Jhon M. Vargas Ochoa nací el 15 de marzo de 1994.En la


provincia de pichincha cantón pedro Vicente Maldonado,

También podría gustarte