Documentos de Académico
Documentos de Profesional
Documentos de Cultura
Abstract — This research process aims to incorporate the Un convertidor analógico-digital toma un voltaje de
best components to the designed system in order to conduct each the entrada analógico, y después de cierto tiempo produce un
proposed functions and, accordingly, the best features for a low-cost código de salida digital que representa a la entrada
and dynamic nature prototype. Its multiple applications will make it analógica. Por lo general, el proceso de conversión AID es
a system that could meet many of the existing needs in the high- más complejo y consume más tiempo que el proceso D/A,
technology industries (energy, electronic, automation, home
por lo cual se han desarrollado y utilizado varios métodos.
automation, etc.)
Examinaremos algunos de ellos con detalle, aún y cuando
Keywords — ADC-DAC-VHDLServo motor-follower of
tal vez nunca sea necesario diseñar o construir ADCs (dado
light-photo cells-engine
que están disponibles como unidades encapsuladas en IC).
No obstante, las técnicas que se utilizan proporcionan una
I.INTRODUCCIÓN visión interna de los factores que determinan el desempeño
Con el fin de conocer las tendencias actuales en el ámbito de un ADC [1].
de sistemas de seguimiento de luz, cómo han evolucionado Desde el punto de vista de un convertidor analógico-digital
estas hasta el momento y hacia donde se dirigen, es preciso ideal, el proceso necesario para convertir una señal analógica
analizar tanto el avance del conocimiento, siempre ligado a la (continua) en una señal digital (discreta), consta de tres fases:
necesidad de un mayor aprovechamiento económico y una
mayor eficiencia energética, como aquellos antecedentes de Muestreo
aplicaciones tecnológicas en los que fundamentan su Consiste en tomar muestras periódicas d la amplitud de onda
funcionalidad. la velocidad de toma de muestras es el número de muestras
El sistema seguidor de luz, al igual que ocurre con todo lo sobre segundo se conoce como frecuencia de muestreo.
relativo al ámbito tecnológico, es el resultado de un desarrollo
que tiene su origen en un cierto fenómeno o necesidad latente. Cuantificación
Así, se pueden considerar dos líneas evolutivas originarias de En este nivel se mide el nivel de voltaje de cada muestra y a
estos tipos de sistemas: la robótica o la tecnología continuación se le asigna un margen de valor de una señal
fotovoltaica. Aunque ambas recogen la esencia de los analizada a un único nivel de salida.
seguidores de luz, la última de ellas refleja, no sólo la
aplicación funcional más importante dada a estos sistemas Codificación
sino, el resultado de lo que fue una necesidad real que con el Es la traducción de los valores obtenidos en la cuantificación
paso del tiempo, el perfeccionamiento y diversidad funcional, a valores binario.
a día de hoy es capaz de cubrir muchas otras aplicaciones
comunes entre ellas por su lógica de funcionamiento.
Uno de los aspectos que da sentido y constituye las bases del
funcionamiento de un dispositivo de seguimiento de luz, es su
capacidad para orientarse en busca del punto del entorno del
que recibe mayor luminosidad. Sin la movilidad, el
dispositivo perdería la característica de seguimiento y con ella
muchas de sus propiedades, haciendo de él un dispositivo de
captación luminosa con grandes limitaciones físicas y
totalmente dependiente de la dirección de incidencia de la
luz. La dotación de movilidad requiere la incorporación de
actuadores que sean capaces de proporcionar al sistema esta
imprescindible característica, es decir, la integración de Ilustración 1
motores en los puntos de la plataforma o estructura del Señal analógica a digital
seguidor en los que se quiere aportar grados de libertad
logrando un movimiento, fácilmente dirigido, del conjunto. B. Circuito Integrado ADC
Con la finalidad de una perfecta integración de estos
componentes en el sistema desarrollado El convertidor ADC0808 es un componente para la
adquisición de datos, este circuito CMOS tiene un convertidor
II. MARCO TEÓRICO analógico-digital de 8 bits, un multiplexor de 8 canales y una
lógica de control que lo hace compatible con todos los
microprocesadores. Para la conversión utiliza el método de
A. Conversión Analógica Digital (ADC) aproximaciones sucesivas [1].
Este dispositivo ofrece gran velocidad, gran exactitud, una corriente. En la siguiente imagen podemos observar la
mínima dependencia a la temperatura, excelente repetitividad interfaz de un DAC que adopta los niveles lógicos de entrada
y un consumo mínimo de energía, por lo tanto, lo hace un a los que necesitan los conmutadores. Los mismos que actúan
dispositivo ideal en aplicaciones de control y de automotores. sobre una red resistiva de precisión que, con la ayuda de una
fuente de referencia, dan como salida en forma analógica una
forma de onda de corriente o voltaje [1].
Ilustración 3
Señal digital analógica
Ilustración 2
Esquema de pines de ADC 0808 1. Circuito Integrado DAC
1. Características El DAC0808 es un convertidor digital-analógico (DAC)
monolítico de 8 bits que ofrece un tiempo de establecimiento
Opera radiométricamente, con 5Vcc o con un voltaje de la corriente de salida a escala completa de 150 ns mientras
de referencia ajustable como span analógico. que disipa solamente 33 mW con fuentes de ± 5V.
No requiere ajuste a cero o a escala completa. No se requiere recorte de corriente de referencia (IREF) para
Tiempo de acceso de 135 nseg. la mayoría de las aplicaciones. Las corrientes de alimentación
8 bits de resolución. del DAC0808 son independientes de los códigos de bits y
Tiempo de conversión de 100 mseg. presentan características de dispositivo esencialmente
Fácil interface con todos los microprocesadores. constantes en todo el rango de voltaje de alimentación.
Error total de +/- ½ LSB y +/- 1 LSB.
Consumo de potencia de 15 mW.
D. Display de 7 segmentos
F. CMOD S6
Ilustración 8
Tarjeta CMOD S6
Ilustración 6
Display en cátodo común
E. VHDL
Ilustración 11
Tren de pulsos para control servo
Ilustración 13
Relacion resistencia-Temperatura LDR tipico
LDR lineales. Son fotodiodos, sensibles a la luz La fotorresistencia LDR GL5528 es un sensor
incidente. Aunque estos dispositivos no son fotoeléctrico capaz de proporcional valores de
fotorresistencias propiamente dichas, pueden ser resistencia de 8kΩ – 20kΩ a 1MΩ ante luz brillante
tratados como tal cuando se polarizan inversamente, o ausencia de luz.
ya que generan una cierta corriente proporcional a la De muy pequeño tamaño y precio reducido, se trata
cantidad de luz con la que son excitados, que de un componente ideal para detectar la presencia y
circulará con el positivo en el ánodo y el negativo en nivel de iluminación irradiada sobre ella.
el cátodo, es decir, en sentido opuesto a la flecha del Está compuesta por una célula foto-receptora, que
diodo. Así, en ausencia de energía luminosa exterior posee como material fotoconductor el sulfuro de
la corriente generada será escasa, siendo mayor ante Cadmio (CdS), y dos patillas, que constituyen los
luz potente. El símbolo del fotodiodo se muestra en terminales de conexionado.
la ilustración 12. [3]
Ilustración 15
Fotodiodo Ilustración 17
Si el fotodiodo es polarizado en directa y, por lo tanto, la LDR GL5528
corriente circula desde el ánodo al cátodo, la incidencia de luz
no tendría ningún efecto sobre él y se comportaría como un 3.2. Caracteristocas tecnicas
diodo semiconductor convencional. El símbolo del diodo se
muestra en la ilustración 13. Voltaje maximo 150(DC)
Consumo energia maximo 100mW
Temperatura de trabajo -30° +70°
Pico espectral 540nm
Resistencia luz brillante 8kΩ-20kΩ(10lux)
Ilustración 16 Resistencia luz oscura 1MΩ(0lux)
Diodo Gamma 0.7
Tiempo de respuesta 25ms
LDR no lineales. Son las fotorresistencias
Tabla 2
propiamente dichas. En oposición a las lineales, las
Especificaciones tecnicas.
LDR no lineales no ven afectado su comportamiento
al cambiar la polarización del mismo. 4. Acondicionamiento de la señal
3. Principio de funcionamiento Los acondicionadores de señal son utilizados como circuito de
medida o sistemas de control para el tratamiento de señales
procedentes de un sensor, convirtiéndola en un formato
adecuado para su interpretación posterior. Las
fotorresistencias son componentes que generan, por sí
mismas, como señal final, un valor de resistencia, pero esta
señal no es interpretable por ningún microcontrolador. Por
ello, se requiere de un acondicionar de la señal que permita
convertir ese valor resistivo en un valor de voltaje fácilmente
interpretable. Aunque existen muchos tipos de circuito de
acondicionamiento (puente de Wheatstone, potenciómetro,
amplificador de la señal, etc.), el requerido en este caso, por
su sencillez y fácil implementación, será el divisor de tensión,
también conocido como divisor de voltaje.
Ilustración 18
Divisor de tension
Ilustración 20
Situaacion LDR (b)
(1)
Tanto la primera opción como la segunda son completamente
Se requiere de la participación de una resistencia y la LDR válidas, pero la preferencia por un comportamiento más
seleccionada. La disposición de ambas y el valor de la intuitivo, en el que el valor del voltaje sea mayor cuanto
primera pueden hacer variar el voltaje de salida, el cual será mayor sea la cantidad de luz radiada, lleva a la
proporcionado a la tarjeta Cmod S6 por uno de sus pines implementación de la primera de las opciones propuestas
analógicos, para su posterior interpretación. Por ello es Por otro lado, el valor de la resistencia R influirá en la
preciso conocer las alternativas existentes. Las posibilidades reducción del valor de voltaje de salida, es decir, en que la
para la disposición de ambos componentes dentro del divisor diferencia de potencial entre la fuente y el Arduino sea mayor
de tensión son decisivos según cuál sea la magnitud del cuanto mayor sea el valor resistivo de esta. En este punto,
voltaje de salida que se desea proporcionar. Así, según la antes de la elección del valor de la resistencia, se debe tener
situación de la fotorresistencia dentro del divisor, el voltaje en cuenta que el valor del voltaje final únicamente será
será directa o inversamente proporcional a la cantidad de luz utilizado como referencia y a modo comparativo entre otros
incidente sobre la misma. Si la conexión de la fotorresistencia valores para distinguir en qué momento/punto se está
se realiza en la posición más próxima a la fuente de recibiendo más luz y así mandar ordenes al resto del
alimentación (ver Figura 19), cuanta más luz incida sobre dispositivo para la consecución de una determinada acción.
esta (menor valor de resistencia), menor será la diferencia de Por ello, el valor de la resistencia R no es un aspecto que
potencial que existirá entre la fuente de alimentación y la pueda limitar el correcto funcionamiento de estos
tarjeta Cmod S6[4] componentes ni del resto del dispositivo, y, por supuesto, no
supondría ningún problema funcional para la tarjeta Cmod
S6.
J. Servomotores
Un servomotor es un dispositivo similar a un motor de
corriente continua, que tiene la capacidad de ubicarse en
cualquier posición dentro de su rango de operación y
mantenerse estable en dicha posición.
Este tipo especial de motor es utilizado para obtener una
salida precisa y exacta en función del tiempo, siendo
expresada habitualmente en términos de posición, velocidad
y/o par. Esto se traduce, a nivel práctico, en la capacidad de
ser controlado, para ubicarse, como ha sido mencionado, de motor en cada posición dependiendo del tiempo en el que el
forma inmediata y estable en cualquier posición. pulso inyectado permanece en ‘alto’ (ciclo de trabajo),
Típicamente, el rango o intervalo de operación de los teniendo en cuenta la existencia de restricciones técnicas de
ancho mínimo y máximo de pulso. Así, por ejemplo, el
comportamiento de un servo analógico que disponga de un
servomotores solía situarse entre los 0⁰ y los 180⁰, lo que ancho mínimo de pulso de 0,5 milisegundos y uno máximo de
2,5 milisegundos, responderá situándose en su posición
1. Clasificacion
En la actualidad, como ocurre con la mayoría de los
componentes electrónicos, las posibilidades que ofrece el
mercado de servomotores han alcanzado un punto de máxima
diversificación, existiendo un tipo de servomotor para cada
necesidad o aplicación. Así, existen servos hidráulicos, servos
basados en corriente alterna empleados en sistemas de gran
potencia, o basados en motores de corriente continua más
utilizados en robots industriales, sistemas de producción, etc. Ilustración 21
Pero no siempre ha sido de este modo. Hasta hace Posicionamiento servo motor analogico según ciclo de trabajo
relativamente poco tiempo, la clasificación de los
servomotores atendía únicamente a criterios de tamaño, El periodo (T) o tiempo de separación entre pulsos está fijado
donde los más pequeños se requerían para aplicaciones donde por la frecuencia (f o número de oscilaciones por segundo)
el peso podía llegar a ser un aspecto crítico (aviones, pinzas que permite el motor atendiendo a la siguiente expresión:
de robots, etc.), y los más grande para aquellas en las que se
necesitaba el aporte de mucha potencia (coches 4x4, barcos,
etc.). Hoy en día, el tamaño no denota potencia o (2)
funcionalidad, al ser posible encontrar sustitutos de los De este modo, un motor con una frecuencia típica de 50Hz, es
servos más potentes en tamaños estándar. Entre los muchos decir, 50 pulsos por segundo, enviará pulsos cada 20ms.
criterios de clasificación de servomotores que existen
actualmente, uno de los más comunes los agrupa atendiendo a 1.3. Servo motor digital
su sistema de control interno, diferenciando entre Mientras que los servomotores analógicos basan su
servomotores analógicos y digitale funcionamiento en las medidas de control llevadas a cabo por
un circuito integrado completamente analógico, la placa de
1.1. Servmotores Analogicos y Digitales control que los servomotores digitales tienen instalada,
contiene agregado un microprocesador que se hace cargo del
A nivel de usuario, los servomotores analógicos y los digitales análisis y procesamiento de la señal PWM de entrada y del
son idénticos tanto a nivel estructural (ver apartado 5.2.2.1.1) control del motor mediante pulsos con una frecuencia 10
como a nivel funcional (ver apartado 5.2.2.1.2), hasta el veces superior a los servos analógicos, es decir, en un mismo
punto de poder ser sustituidos unos por otros sin causar lapso de tiempo, pueden recibir 5 o 6 veces más pulsos. Esto
ningún problema en la aplicación. Para poder establecer el favorece una mayor rapidez ante los cambios en la señal,
aspecto diferenciador entre ambos es preciso entender la mejoras en el rendimiento electromagnético (mayor velocidad
estructura y funcionamiento de ambos. y fuerza), unos tiempos de respuesta menores, un aumento en
la resolución del movimiento y del posicionamiento y una
1.2. Servo motor Analogico mayor suavidad en la aceleración/deceleración, pero conlleva
De la electrónica o estructuración interna de los servomotores un mayor consumo de energía como consecuencia de la
analógicos, y de los servomotores en general, radican las generación de un mayor número de pulsos en un mismo ciclo
limitaciones y modo de funcionamiento de los mismos. de trabajo.En la ilustracion 19se observa el comportamiento,
Aunque los servomotores analógicos y digitales tengan como a modo comparativo, de los dos tipos de sensores analizando
base de su sistema de control las señales PWM, el hecho de el número de pulsos que es capaz de producir en un mismo
no disponer del microprocesador obliga a un tratamiento algo
distinto. [17] La electrónica del servo analógico coloca el
lapso de tiempo para la generación del mismo movimiento de Servomotor
rotación del eje de salida[5] 3. Funcionamiento
La integración de un microprocesador en el circuito de
control de los servomotores digitales influye en cómo las
señales son enviadas al servo y en cómo son procesadas
posteriormente, pero el funcionamiento básico de ambos es el
mismo. El ciclo de trabajo del servomotor comienza con el
voltaje y la información transmitida a través de los cables de
conexionado (véase sección 5.4.2.1). Por un lado, el cable de
voltaje proporciona al motor la tensión suficiente para que
éste recorra la distancia necesaria hasta alcanzar el ángulo
solicitado. Se trata de un control proporcional ya que la
tensión suministrada dependerá directamente del recorrido
que necesite realizar el motor. Generalmente, esta tensión
suele variar, según el servo, entre 4.8V y 7.2V.Por otro lado,
el cable de control se usa para comunicar el ángulo, que está
Ilustración 22 determinado por la duración del pulso que se aplica. A esto se
Comparacion ciclo de trabajo servomotores analogicos y digitales le conoce como señal PWM (Pulse Width Modulation). La
modulación por ancho de pulso (PWM) como sistema
Otra de las ventajas que desmarcan a los servomotores empleado en los servos para modificar la posición angular de
digitales es la amplia opción de control que proporcionan a los mismos, consiste en generar una onda cuadrada en la que
través de parámetros que en los servomotores analógicos son
fijos: sentido de giro, posición central inicial, topes en el
recorrido del servo, velocidad de respuesta y resolución. Por varíe el tiempo en el que el pulso está a nivel más alto (𝑇ON)
lo comentado anteriormente, las mejoradas prestaciones que
proporcionan los servos digitales a nivel funcional, hacen que
sea la mejor opción
MATERIALES
III. PROGRAMACIÓN
COMPONENT servo_clk
PORT(
entrada: in STD_LOGIC;
reset : in STD_LOGIC;
salida : out STD_LOGIC);
END COMPONENT;
COMPONENT servo_pwm
PORT (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
Ilustración 28 pos : IN STD_LOGIC_VECTOR(7 downto 0);
Moduacion de ancho de pulso servo : OUT STD_LOGIC
Existen dos conceptos clave para la modulación de la señal: );
frecuencia y cantidad de estados. La frecuencia puede ser END COMPONENT;
manipulada de manera externa utilizando un divisor de
signal clk_out : STD_LOGIC := '0'; END COMPONENT;
entity contador_8_2 is
port(CLK, CLR : in std_logic;
Q : out std_logic_vector(7 downto 0));
end contador_8_2;
----CONTADOR 2
component contador_8_2 is
port(CLK, CLR : in std_logic;
Q : out std_logic_vector(7 downto 0));
end component contador_8_2;
Ilustración 34 ----SERVO1
Esquema principal Decodificador
COMPONENT control_servo is
PORT(
clk : IN STD_LOGIC;
reset: IN STD_LOGIC;
pos : IN STD_LOGIC_VECTOR(7 downto 0);
servo: OUT STD_LOGIC
);
end COMPONENT control_servo;
----SERVO2
COMPONENT control_servo1 is
PORT(
Ilustración 35 clk : IN STD_LOGIC;
Esquema secundario decodificador
reset: IN STD_LOGIC;
F. Programa completo pos : IN STD_LOGIC_VECTOR(7 downto 0);
library IEEE; servo: OUT STD_LOGIC_vector(7 downto 0));
use IEEE.STD_LOGIC_1164.ALL; end COMPONENT control_servo1;
begin
Clear<=clock;
Res<=reset;
Aini<=ADC1;
end Behavioral;
Ilustración 39
Estructura utilizada para el movimiento de los servomotores
Ilustración 36
Esquemático programa completo
Ilustración 40
Visualización 2 grados de libertad
IV. CONCLUSIONES
Al utilizar la fotorresistencia (LDR) observamos que
es un componente que hace variar su resistencia
dependiendo de la luz visible, ya que este tiene una
Ilustración 37 fotorresistencia que es sensible a la luz. Como ya
ADC utilizado en la práctica
sabemos que la resistencia es una propiedad de los
materiales que impide el flujo de la corriente
eléctrica por él, entre mayor sea la resistencia, mayor
ser la oposición al flujo eléctrico
La precisión del movimiento de orientación está
limitada a la cantidad de luz que recibe y la
orientación.
La señal de entrada posee un vector con valores de 0 sus estudios los realizo en el colegio Alessandro Volta, actualmente estudia en la
a 127, que dan el rango de 0ms a 2ms. Así que es Universidad Nacional de Chimborazo
necesario sumar un total de 32 a la señal para
generar un pulso de 0.5ms a 2.5ms. La señal de
salida estará activa solamente cuando el valor de la
señal pwm sea menor que el valor del contador.
Se construyó un Sistema Posicionador con dos
grados de libertad, por manipulación de dos
servomotores y una interfaz para que la tarjeta Cmod
s6 controlara el movimiento de un servomotor,
aunque también se pueden colocar en paralelo para
probar ambos motores.
Para mover la frecuencia de la señal modulada se
utilizó un divisor de frecuencia como entrada del
componente de PWM mediante el uso de un módulo
principal y la instrucción PORT MAP.
Por medio de VHDL es posible la descripción de
circuitos con elementos lógicos, además de su fácil
implementación ya que por medio del software ISE
se realiza de forma fácil la creación de proyectos
digitales.
Si a un servomotor con tope se le conecta a la
terminal de control una señal de PWM se le puede
controlar la posición. En caso de que el servomotor
no tenga tope, entonces mediante el uso de una señal
de PWM se le puede controlar la velocidad y el
sentido de giro.
REFERENCIAS
[1] T. L. Floyd, Fundamentos de Sistemas Digitales, Madrid: PEARSON
EDUCACIÓN S.A, 2006.
[2]G. MORENO and F. MARTÍNEZ, “Mediciones industriales,”
Fotorresistencias,200[online].Available.http://martinezmorenomedicionesind.blo
gspot.com.es/2007/06/fotoresistencialdr_16.html.
[3] J. A. CORRALES RAMÓN and F. A. CANDELAS HERÍAS,
“Servomotores,” Universidad de Alicante, 2007.
[4] C. BRAVO GRANADINO, E. LABARCA FUENTES, C. LEÓN
SOLEDISPA, J. ULLOA SUÁREZ, and J. L. VALENZUELA LOBOS, “El Bus
I 2 C: Protocolo Y Metodo De Comunicacion,” vol. 1, p. 11, 1992.
[5] Jaramillo J. A., Salmerón M., Godoy R. “Control de posición de un
servomotor utilizando la tarjeta de desarrollo Nexys II y VHDL”. Boletín
UPIITA No. 29. Publicación del 30 de noviembre del 2011.
[6] Lin, C. M., & Hsu, C. F. (2004). Adaptive fuzzy sliding-mode control for
induction servomotor systems. IEEE Transactions on Energy Conversion, 19(2),
362-368.
[7] Wai, R. J., & Lin, F. J. (1999). Fuzzy neural network sliding-mode position
controller for induction servo motor drive. IEE Proceedings-Electric Power
Applications, 146(3), 297-308.
BIOGRAFÍA