Está en la página 1de 5

Benemérita Universidad Autónoma De Puebla

Diseño Lógico y Digital


Otoño 2018

Practica #2
“Diseño del juego piedra, papel, tijera”
J. Eduardo Gonzalez B., Joyce Aguilar M., Ricardo Romero S., Roberto Castillo M.,

Facultad de Ciencias de la Computación.


Ciudad Universitaria, Edif. CCO5-201, Col. San Manuel, C.P. 72570, Puebla, Pue., México.
jose.eduardo.gonzalez.barbosa@gmail.com
joyce.amarquez@live.com
Fecha: 28/08/2018

Resumen – Para esta practica se planteo el empate deberá de mostrarse por medio de un 11
siguiente problema;* en la salida.
En el caso de que no se oprima J (J=0) las
Diseñe un sistema electrónico digital capaz de salidas SA y SB serán igual a cero.
mostrar al ganador entre dos adversarios
del tradicional concurso piedra, papel o tijera. Introducción
El sistema estará definido por las entradas de
los jugadores A (A1, A2) y B (B1, B2) y dos Este documento habla sobre la resolución de un
salidas Sa y Sb, Se recomienda usar el siguiente problema, el diseño de un sistema
código para identificar cada propuesta: combinacional, con su respectivo modelo
optimizado y su posterior emulación utilizando
codigo Propuesta código VHDL
0 0 No hay El problema plantea que se necesitan de al
propuesta
menos cuatro votos, para decidir que elección
0 1 piedra se tomara, sin embargo, si ningún regidor vota
a favor, no se tomara la decisión como válida
1 0 Papel
aunque cuente con la cantidad de votos
1 1 Tijera necesarios.

Con lo cual se propone la siguiente tabla de


Se requiere de un botón adicional llamado J verdad:
(juego) de modo que solo al oprimirlo muestre
J A1 A2 B1 B2 Sa Sb
por medio de dos salidas SA y SB indique que
jugador gano, en el caso de que uno o los dos
1 0 0 0 0 0 0
concursantes no tengan propuesta el resultado
será nulo indicando la salidas 00, en caso de 1 0 0 0 1 0 1
1 0 0 1 0 0 1 A┐B m16 m17 m19 m18

1 0 0 1 1 0 1

1 0 1 0 0 1 0
S0 ┐C┐D ┐CD C C
D ┐D
1 0 1 0 1 1 1

┐A┐B 0 1 0 1
1 0 1 1 0 0 1

1 0 1 1 1 1 0 ┐AB 0 1 0 1

1 1 0 0 0 1 0 AB 0 1 0 1

1 1 0 0 1 1 0 A┐B 0 1 0 1

1 1 0 1 0 1 1

1 1 0 1 1 0 1
S1 ┐C┐D ┐CD C C
D ┐D
1 1 1 0 0 1 0
┐A┐B 0 0 0 1
1 1 1 0 1 0 1

┐AB 1 1 1 0
1 1 1 1 0 1 0

1 1 1 1 1 1 1 AB 1 1 1 0

A┐B 0 0 0 1

S2 ┐C┐D ┐CD C C
𝐹 = ┐𝐴𝑆┐𝑅1𝑅2𝑅3 + ┐𝐴𝑆𝑅1𝑅2┐𝑅3 D ┐D
+ ┐𝐴𝑆𝑅1┐𝑅2𝑅3
┐A┐B 0 0 1 0
+ ┐𝐴𝑆𝑅1𝑅2𝑅3 + 𝐴𝑆𝑅1𝑅2𝑅3
+ 𝐴𝑆𝑅1𝑅2┐𝑅3 + 𝐴𝑆𝑅1┐𝑅2𝑅3 ┐AB 0 0 1 1

+ 𝐴𝑆𝑅1┐𝑅2┐𝑅3 AB 1 1 0 0
+ 𝐴𝑆┐𝑅1𝑅2𝑅3
A┐B 1 1 0 1
+ 𝐴𝑆┐𝑅1┐𝑅2𝑅3
+ 𝐴𝑆┐𝑅1┐𝑅2𝑅3
+ 𝐴┐𝑆𝑅1𝑅2𝑅3
S3 ┐C┐D ┐CD C C
+ 𝐴┐𝑆𝑅1𝑅2┐𝑅3 D ┐D
+ 𝐴┐𝑆𝑅1┐𝑅2𝑅3
┐A┐B 0 0 0 0
+ 𝐴┐𝑆𝑅1┐𝑅2┐𝑅3
+ 𝐴┐𝑆┐𝑅1𝑅2𝑅3 ┐AB 0 0 0 0

+ 𝐴┐𝑆┐𝑅1┐𝑅2𝑅3 AB 0 0 1 1
+ 𝐴┐𝑆┐𝑅1𝑅2┐𝑅3
A┐B 0 0 1 0
Mapa de Karnaugh.

//robert Ecuación:
┐C┐D ┐CD C C
D ┐D
//robert

┐A┐B m0 m1 m3 m2 S0= notC and D or C and not D


S1= B and not C or B and D or not B and C and D
┐AB m8 m9 m11 m10
S2= A and not C or not A and C and D or not A
AB m24 m25 m27 m26
and B and C or A and not B and not C electromecánicos, y actualmente es usado para
S3= A and C and D or A and B and C facilitar la corrección de errores en los sistemas
de comunicaciones, tales como algunos
sistemas de televisión por cable y la televisión
Marco Teórico digital terrestre.

Binario: El sistema binario, llamado también VHDL: es un lenguaje de especificación


sistema diádicoenciencias de la computación, definido por el IEEE (Institute of Electrical and
es un sistema de numeración en el que los Electronics Engineers) (ANSI/IEEE 1076-1993)
números se representan utilizando solamente utilizado para describir circuitos digitales y para
dos cifras: cero y uno (0 y 1). la automatización de diseño electrónico.

Es uno de los sistemas que se utilizan en las VHDL es acrónimo proveniente de la


computadoras, debido a que estas trabajan combinación de dos acrónimos: VHSIC (Very
internamente con dos niveles de voltaje, por lo High Speed Integrated Circuit) y HDL
cual su sistema de numeración natural es el (Hardware Description Language). Aunque
sistema binario. puede ser usado de forma general para
describir cualquier circuito digital se usa
Tabla de verdad: Una tabla de verdad, o tabla principalmente para programar PLD
de valores de verdad, es una tabla que muestra (Programmable Logic Device - Dispositivo
el valor de verdad de una proposición Lógico Programable), FPGA (Field
compuesta, para cada combinación de verdad Programmable Gate Array), ASIC y similares.
que se pueda asignar. Fue desarrollada por
Charles Sanders Peirce por los años 1880, pero Nexys 4: es una plataforma de desarrollo de
el formato más popular es el que introdujo circuito digital completa, lista para usar, basada
Ludwig Wittgenstein en su Tractatus logico- en la última matriz de compuerta programable
philosophicus, publicado en 1921. de campo (FPGA) Artix-7 ™ de Xilinx®.Con FPGA
de gran capacidad, generosas memorias
Mapa de Karnaugh: Un mapa de Karnaugh externas y colección de USB, Ethernet y otros
(también conocido como tabla de Karnaugh o puertos, el Nexys4 puede alojar diseños que
diagrama de Veitch, abreviado como Mapa-K o van desde circuitos combinatorios
Mapa-KV) es un diagrama utilizado para la introductorios hasta potentes procesadores
simplificación de funciones algebraicas integrados. Varios periféricos incorporados, que
Booleanas. El mapa de Karnaugh fue inventado incluyen un acelerómetro, sensor de
en 1950 por Maurice Karnaugh, un físico y temperatura, micrófono digital MEMs, un
matemático de los laboratorios Bell. amplificador de altavoz y varios dispositivos de
Código Gray: El código binario reflejado o E/S, permiten utilizar el Nexys4 para una amplia
código Gray, nombrado así en honor del gama de diseños sin necesidad de otros
investigador Frank Gray, es un sistema de componentes.
numeración binario en el que dos palabras Desarrollo del trabajo experimental
consecutivas difieren solamente en uno de sus
dígitos. Diagrama optimizado:

El código Gray fue diseñado originalmente para


prevenir señales ilegales (señales falsas o
viciadas en la representación) de los switches
//robert

Código VHDL:
---------------------------------------
---------------------------------------
---
-- Company:
-- Engineer:
--
-- Create Date: 20:27:40 29/06/2018
-- Design Name:
-- Module Name: Votacion - a1 :
Behavioral in
-- Project Name:
-- Target Devices: STD_LOGIC;
-- Tool versions: a2 : in STD_LOGIC;
-- Description: b1 : in STD_LOGIC;
-- b2 : in STD_LOGIC;
-- Dependencies: sa : out STD_LOGIC;
-- sb : out STD_LOGIC);
-- end pp;
architecture Behavioral of Votacion is
begin
sa <=(j and a1 and not a2 and b1)or (j
and a1 and a2 and b2)or
(j and a2 and not b1 and b2);
sb <= (j and a1 and not a2 and not b1
and b2)or
(j and a1 and b1 and not b2)or
(j and a1 and a2 and b1)or
(j and a2 and b1 and b2)or
(j and not a1 and a2 and b2);
end Behavioral;
Revision: Resultados
-- Revision 0.01 - File Created
-- Additional Comments: //robert
--
---------------------------------------
---------------------------------------
--- library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

-- Uncomment the following library


declaration if using -- arithmetic
functions with Signed or Unsigned
values --use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library
declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity pp is
Port ( j : in STD_LOGIC;
Conclusión

El conocimiento de la teoría es una ventaja en


el momento de programación, no solo para la
implementación de este, sino también para la
resolución de problemas, buscando la
respuesta optima (la que mejor se acople a la
resolución del problema).

Referencias

Anónimo. (2018). Código Gray. 07-06-2018, de


Wikipedia Sitio web:
https://es.wikipedia.org/wiki/C%C3%B3digo_Gr
ay

Anónimo. (2018). Mapa de Karnaugh. 07-06-


2018, de Wikipedia Sitio web:
https://es.wikipedia.org/wiki/Mapa_de_Karnau
gh

Anónimo. (2018). Tabla de verdad. 07-06-2018,


de Wikipedia Sitio web:
https://es.wikipedia.org/wiki/Tabla_de_verdad

Anónimo. (2018). Sistema binario. 07-06-2018,


de Wikipedia Sitio web:
https://es.wikipedia.org/wiki/Sistema_binario

Anónimo. (2018). VHDL. 07-06-2018, de


Wikipedia Sitio web:
https://es.wikipedia.org/wiki/VHDL

Anónimo. (2018). Nexys 4 DDR. 07-06-2018, de


DIGILENT Sitio web:
https://reference.digilentinc.com/reference/pr
ogrammable-logic/nexys-4-ddr/start

También podría gustarte