Está en la página 1de 10

SOLUCION TALLER CIRCUITOS DIGITALES II

UNIDADES BSICAS DE DISEO


1) Los cinco tipos de unidades de diseo son:
La entidad: Consiste en la descripcin de las entradas y las
salidas de un circuito de diseo.
Arquitectura: Se define como la estructura que describe el
funcionamiento de una entidad.
Configuracin: Determina cmo se combinan todos los
subcomponentes para convertirse en un diseo de bloques y
cmo se conectan entre s.
Declaracin del paquete: Se tiene que un paquete VHDL
contiene subprogramas, definiciones constantes y/o
definiciones de tipos que se utilizarn a lo largo de una o
ms unidades de diseo. En la parte de declaracin es donde
se declaran los subprogramas, constantes, variables
compartidas y tipos de uso que sean exportables; es decir,
que estn disponibles. La declaracin del paquete se le
denomina la parte del paquete que es Visible para el
usuario.
Cuerpo del paquete: En esta parte se definen las
implementaciones de los subprogramas, constantes y tipos
de uso interno. 1
2) Las unidades de diseo bsicas para poder realizar un programa
en VHDL son la Entidad y la Arquitectura, ya que los conceptos
bsicos de un programa son las seales o datos de entrada y
salida, as como el funcionamiento o algoritmo del programa. Por
lo tanto con la declaracin de la entidad, se tienen definidas las
entradas y salidas del sistema y con la funcin definida en la
arquitectura se tiene el funcionamiento o labor que el programa
debe hacer o cumplir.

1 http://fpgacenter.com/VHDL/design_units/entity.php

3) Unidades de diseo primarias: La declaracin de la entidad,


del paquete y la configuracin son las unidades de diseo
primarias en VHDL.
Unidades de diseo secundarias: La arquitectura y el cuerpo
del paquete son las unidades de diseo secundarias. 2
DECLARACIN DE ENTIDADES
4) Entidad: Es la descripcin de la interfaz entre un diseo y su
ambiente externo. Tambin puede especificar las declaraciones y
manifestaciones que forman parte de la entidad de diseo. Una
declaracin de entidad dada puede ser compartida por muchas
entidades de diseo, cada una de las cuales tiene una arquitectura
diferente. Por lo tanto, una declaracin de entidad potencialmente
puede representar una clase de entidades de diseo, cada una con
la misma interfaz. 3
La palabra reservada de la entidad en VHDL es entity.
5) Ejemplo de una declaracin de entidad:
Library ieee;
use ieee.std_logic_l 164.all;
entity seleccin is port (
X: in std_logic_vector (0 to
3);
F: out std_logic);
end seleccin;
a) Nombre de la entidad: seleccin.
b) Puerto de entrada:
X.
c) Puerto de salida: F.
d) Tipo de dato: Estndar lgico donde X es una cadena de
valores lgicos y F un solo valor definido.
6) Clasificacin de
identificadores:
2 https://www.ics.uci.edu/~jmoorkan/vhdlref/vhdl.html
3 http://www.vhdl.renerta.com/mobile/source/vhd00025.htm

Ilgico
Con_trol
Pgina
Registro
2Suma

(T)
(C)
(T)
(C)
(T)

7) Entidad para la compuerta AND:

8) La entidad del circuito DEMO es:

9) La entidad del circuito promedio es:

Desp_laza
N_ivel
architecture
S_uma#
Res ta

(C)
(C)
(T)
(T)
(T)

10)

La entidad circuito:

11)
Librera:
Una librera en VHDL es un lugar en donde se guarda la
informacin relacionada con un diseo determinado. Al comienzo
de cada diseo el compilador crea automticamente una librera
llamada WORK con este objetivo. Adems de esta librera
particular existen otras bibliotecas de tipo general que contienen
un conjunto de definiciones que pueden utilizarse en cualquier
diseo. Un ejemplo de librera general es la llamada Library IEEE,
que contiene definiciones estndar para VHDL. Para utilizar una
librera general es necesario escribir su nombre al inicio del
programa, por eso es muy comn que en la primera lnea de un
diseo en VHDL aparezca escrito "Library IEEE", de sta forma
dicha biblioteca se hace visible para el diseo.4
Una librera se compone de dos partes bien diferenciadas,
dependiendo de las unidades que la forman. Por un lado, estn las
unidades primarias que correspondern a entidades, paquetes y
archivos de configuracin. Mientras que las unidades secundarias
4 https://es.wikipedia.org/wiki/VHDL#Bibliotecas

sern arquitecturas y cuerpos de paquetes; por lo tanto se puede


sacar la conclusin de que cada unidad secundaria deber estar
asociada con una entidad primaria. 5
12)
Use ieee.std_logic_1164.all;
De la librera IEEE incluya todo el contenido del
paquete
std_logic_1164 al archivo VHDL actual(library work).
13)
Entidad de un multiplexor 4:1 con bits simples es:

14)
es:

Entidad de un multiplexor 4:1 con un vector de cuatro bits

15)

Lmpara de morse

5
http://es.m.wikibooks.org/wiki/Programacin_en_VHDL/Organizacin_del_cdigo

16)

Motor de un ventilador

17)
Funcionamiento de un panel en una fbrica de
empaquetamiento de arroz:

18)

Robot

También podría gustarte