Está en la página 1de 3

ESCUELA POLITECNICA NACIONAL

LABORATORIO DE SISTEMAS DIGITALES


JESSICA NAJELY PARRA URENA
Jessica.parra01@epn.edu.ec

TRABAJO PREPARATORIO

1. ¿Qué es la arquitectura Flujo de Datos en VHDL? (200 palabras).

Cuando comienza la fase de diseño de un sistema electrónico digital, resulta útil realizar una descripción
funcional o de comportamiento del mismo. Una descripción VHDL usualmente está compuesta por un conjunto
de entidades y subentidades, algunas de las cuales se pueden adquirir manufacturadas. De esta forma se
elimina la necesidad de diseñarlas por completo. Sin embargo su comportamiento resulta necesario durante
la fase de simulación del sistema. En este punto es conveniente realizar una descripción funcional del
componente, que podrá ser utilizada como versión previa para simular el sistema final. La descripción funcional
no hace referencia a la estructura interna del componente, que es visto como una caja negra, sino sólo se
refiere a su funcionamiento. Muchas veces la descripción funcional se divide a su vez en dos, dependiendo del
nivel de abstracción y del modo en que se ejecutan las instrucciones. Estas dos formas se denominan
algorítmica y de flujo de datos.

2. ¿Qué es la arquitectura Estructural en VHDL? (200 palabras).

Estos cuerpos se denominan arquitecturas y se definen en VHDL mediante la palabra clave ARCHITECTURE.
A continuación se muestran éstas arquitecturas. Cada arquitectura está descripta con un estilo distinto,
comenzando por la descripción algorítmica, pasando por la descripción de flujo de datos para culminar con la
descripción estructural. En la descripción algorítmica no se hace referencia a los operadores lógicos presentes
en el esquema del multiplexor, sino sólo al funcionamiento del mismo. Con una secuencia sencilla de
instrucciones se puede describir el circuito. La forma en que se ejecutan las descripciones algorítmicas es
secuencial, como se verá mas adelante en este capítulo, por eso se le da la denominación de algorítmica para
diferenciarla de las descripciones concurrentes. La ejecución secuencial se hace posible mediante la inclusión
de la palabra clave PROCESS. Sin embargo ésta no es la única construcción secuencial que posee VHDL, otras
construcciones de naturaleza secuencial son las funciones y los procedimientos, estructuras de alto nivel que
también pueden emplearse para realizar descripciones funcionales

3. ¿Qué es una sentencia concurrente? (200 palabras).

La principal sentencia concurrente de VHDL es el proceso (process),que define los límites de un dominio
secuencial.Las restantes sentencias concurrentes son formas diferenciadas deprocesos que proporcionan al
lenguaje una mayor expresividad.Estas sentencias utilizan una sintaxis conveniente para:Escribir programas con
estilo de flujo de datos.Escribir programas con estilo estructural.Facilitar la descripción de arquitecturas
regulares.Desde el punto de vista de la ingeniería del software, estas sentencias facilitan la reusabilidad en el
dominio concurrente.

4. Consultar cuál es la estructura básica y función de las siguientes sentencias


concurrentes en VHDL:
• When-Else
• With-Select-When
Se utiliza para asignar un valor a una señal con base en el valor de otra señal previamente
seleccionada .

5. Consultar cuál es la función y la sintaxis básica en VHDL de:


• Port Map

• Signal

• Component ‘
6. Utilizando una sentencia concurrente realizar un programa en VHDL que
permita simular el funcionamiento de un mux 4 a 1. Presentar el código
implementado y la simulación del correcto funcionamiento utilizando el University
Program VWF.

El código utilizado es el siguiente , incluyendo las librerías ;

7. Utilizando una sentencia concurrente realizar un programa en VHDL que


permita convertir un numero binario de 4 bits en su correspondiente número BCD.
Emplee una variable de 4 bits para las unidades y una variable de 4 bits para las
decenas. Presentar el código implementado y la simulación del correcto
funcionamiento utilizando el University Program VWF.

También podría gustarte