Está en la página 1de 23

NDICE GENERAL

CAPTULO 1 _________________________________________________________________ 1
INTRODUCCIN ___________________________________________________________ 1
CAPTULO 2 _________________________________________________________________ 2
FUNDAMENTO TERICO _____________________________________________________ 2
1. Codificador______________________________________________________________ 2
Codificador con prioridad ______________________________________________ 2
2.

Decodificador__________________________________________________________ 2
Aplicaciones con los decodificadores: decodificador BCD a 7 segmentos: _________ 3

CAPTULO 3 _________________________________________________________________ 4
MATERIALES A UTILIZAR _____________________________________________________ 4
CAPTULO 4 _________________________________________________________________ 5
PLANTEAMIENTO DEL PROBLEMA Y DESARROLLO _______________________________ 5
4.1

CODIFICADOR _______________________________________________________ 5

A.

Anlisis del Codificador ________________________________________________ 5

B.

Diseo del Codificador ________________________________________________ 6

C.

Implementacin ______________________________________________________ 7

4.2

DECODIFICADOR ___________________________________________________ 11

A.

Anlisis del codificador _______________________________________________ 11

B.

Tabla de verdad _____________________________________________________ 12

C.

Mapas de Karnaugh: _________________________________________________ 12

D.

Implementacin _____________________________________________________ 17

E.

Codificador decodificador ___________________________________________ 18

BIBLIOGRAFA ______________________________________________________________ 21

| Codificadores y Decodificadores con Display

CAPTULO 1

INTRODUCCIN

En un sentido general se llama codificador y decodificador a un dispositivo que permite


expresar una cantidad decimal en su equivalente binario y viceversa. Un codificador se puede
definir como un circuito combinatorio que tiene 2n (o menos) lneas de entrada y n lneas de
salida. Las lneas de salida generan el cdigo binario correspondiente al valor de entrada. Un
decodificador es un circuito lgico combinatorio que mediante un cdigo binario de entrada
de N bits genera 2N lneas de salida, que se activa una a la vez dependiendo de la combinacin
de entrada.
El presente trabajo pondr en prctica la resolucin de un

sistema de codificacin y

decodificacin que ser planteado e implementado para comprobar la teora, demostrada en


forma prctica, en clase.

| Codificadores y Decodificadores con Display

CAPTULO 2
FUNDAMENTO TERICO
1. Codificador
Un codificador es un circuito digital que efecta la operacin inversa de la que efecta
un decodificador. El codificador tiene 2n (o menos) lneas de entrada y n lneas de
salida. Estas ltimas generan el cdigo binario correspondiente al valor de entrada. Un
ejemplo del codificador es el codificador de octal binario. El codificador se puede
implementar con compuertas OR.(M. Morris Mano, 2003)
Un codificador es un circuito lgico combinacional que, esencialmente, realiza la
funcin inversa del decodificador. Un codificador permite que se introduzca en una
de sus entradas un nivel activo que representa un dgito, como puede ser un dgito
decimal u octal, y lo convierte en una salida codificada, como BCD o binario. Los
codificadores se pueden disear tambin para codificar smbolos diversos y caracteres
alfabticos. El proceso de conversin de smbolos comunes o nmeros a un formato
codificado recibe el nombre de codificacin.(Floyd, 2006)
Codificador con prioridad
Un codificador con prioridad ofrece una flexibilidad adicional en lo relativo a que
puede utilizarse en aplicaciones que requieren deteccin de prioridad. La funcin de
prioridad significa que el codificador producir una salida BCD correspondiente al
dgito decimal de entrada de ms alto orden que se encuentre activo, e ignorar
cualquier otra entrada de menor orden que est activa. Por ejemplo, si las entradas 6 y
3 se encuentran activas, la salida BCD ser 0110 (que representa al nmero decimal
6).(Floyd, 2006)

2. Decodificador
Un decodificador es un circuito combinacional con n entradas y m salidas que
funciona de manera inversa a un codificador. Es decir, partiendo de una informacin
codificada en binario, extrae la informacin que contiene. As, cuando las entradas se
activan con una combinacin binaria de n bits, se consigue activar la salida cuyo

| Codificadores y Decodificadores con Display

nmero de orden coincide con el valor de la combinacin binaria aplicada en las


entradas.(Montero, 2009)
La funcin bsica de un decodificador es detectar la presencia de una determinada
combinacin de bits (cdigo) en sus entradas y sealar la presencia de este cdigo
mediante un cierto nivel de salida.(Floyd, 2006)
Aplicaciones con los decodificadores: decodificador BCD a 7 segmentos:
Una aplicacin muy utilizada de los decodificadores es el convertidor de cdigo BCD a
7 segmentos que permite visualizar en un display de 7 segmentos el cdigo decimal
correspondiente al BCD introducido.

Figura 1 Conexiado de un display de nodo comn

Los displays estn construidos utilizando como mnimo 7 segmentos dispuestos de


forma que al iluminarlos se consiga representar un digito decimal. Cada segmento es
un diodo LED que se ilumina al circular a travs de l una corriente elctrica de un
determinado valor.(Montero, 2009)

| Codificadores y Decodificadores con Display

CAPTULO 3
MATERIALES A UTILIZAR

1 Protoboard.

1 Regulador de tensin 5V - LM7805.

1 Condensador de 100 Uf y 1 de 1uF.

1 Batera de 9V.

1 Circuito Integrado TTL 74147, 7447, 7404.

1 Display nodo Comn.

1 m. de cable PAR telefnico o cable UTP.

1 Manual TTL/CMOS.

4 diodos Led rojos.

5 resistencias de 330

| Codificadores y Decodificadores con Display

CAPTULO 4
PLANTEAMIENTO DEL PROBLEMA Y DESARROLLO

4.1

CODIFICADOR
A. Anlisis del Codificador
Un codificador, es un circuito combinacional que convierte las representaciones
grficas como nmeros (0,1,... n), letras (A, B, C, a, b, c,...) y otros; en una salida
codificada. En la figura 1 se visualizan las entradas y las salidas del sistema
codificador, para la presente prctica, la entrada est representada en dgitos
decimales y la salida estar representada por un nmero binario de 4 bits.

Entrada

Sistema
Codificador

Salida
Binaria

Representacin
0, 1, 2,
a, b, c,

A, B, C,
Figura 2 - Diagrama de caja negra del sistema codificador

En la figura 3 se visualiza una representacin del sistema en una PC.

Teclado

PC

Codificador

Figura 3 Representacin de sistema codificador en una PC.

| Codificadores y Decodificadores con Display

Tabla 1 - Tabla de verdad del sistema Codificador

Entradas

Salidas
FA

FB

FC

FD

B. Diseo del Codificador


FA = 8, 9
FB = 4, 5, 6, 7
FC = 2, 3, 6, 7
FD = 1, 3, 5, 7, 9

Figura 4 Diagrama de compuertas lgicas del sistema


codificador

| Codificadores y Decodificadores con Display

C. Implementacin
La tecnologa a utilizar es TTL (Lgica Transistor a Transistor), el circuito
integrado a utilizar es 74147 (codificador decimal ABCD).

Figura 5 Circuito Integrado TTL: 74147

Figura 6 Diagrama de conexiones del C.I. 74147

Simulacin del funcionamiento del codificador utilizando un software de


simulacin de circuitos.

| Codificadores y Decodificadores con Display

Figura 7 Codificador en el simulador de circuitos, con entrada 5 y salida 0101

Figura 8 - Codificador en el simulador de circuitos, con entrada 9 y salida 1001

| Codificadores y Decodificadores con Display

Creamos la tarjeta, para este caso usamos el protoboard y probamos el


codificador.
Si:
SALIDA

ENTRADA
6

FA
0

FB
1

FC
1

Figura 9 Diagrama de conexiones del C.I. 74147 para el ejemplo visto

Figura 10 Codificador en el protoboard, entrada 6 y salida 0110

FD
0

| Codificadores y Decodificadores con Display

Si:
SALIDA

ENTRADA
2

FA
0

FB
0

Figura 11 - Diagrama de conexiones del C.I. 74147 para el ejemplo visto

Figura 12 - Codificador en el protoboard, entrada 6 y salida 0110

10

FC
1

FD
0

| Codificadores y Decodificadores con Display

4.2

Decodificadores
A. Anlisis del codificador
Un decodificador, es aquel circuito lgico que convierte lenguaje mquina a
lenguaje humano.

FA

Bus de Datos

Sistema

A
B
C
D

Decodificador

FC

FD
FE
FF

Entrada Binaria

FG
Figura 13 Diagrama de caja negra del sistema codificador

Ejemplo:

Teclado

PC

Decodificador

Figura 14 - Representacin de sistema decodificador en una PC.

11

FB

| Codificadores y Decodificadores con Display

Figura 15 Representacion del sistema decodifcador en una


PC y en display

B. Tabla de verdad
Tabla 2 Tabla de verdad del sistema decodificador

0
1
2
3
4
5
6
7
8
9

Bus de Datos

Bus de Datos

ENTRADA

SALIDA

FA

FB

FC

FD

FE

FF

FG

10, 11, 12, 13, 14, 15

C. Mapas de Karnaugh:
Una vez obtenida nuestra tabla de verdad, procedemos a simplificar la funcin
lgica de salida. Para esto se usara la simplificacin por mapas de Karnaugh.

12

| Codificadores y Decodificadores con Display

FA:

CD
00

AB

01

11

10

00
01
11
10

FA= A + C + BD + BD

Se agrupa:

FA= A + C + BD

FB:
CD
AB

00

01

11

10

00
01
11
10

Se agrupa:

13

FB =

B + CD + CD

FB =

B+CD

| Codificadores y Decodificadores con Display

FC:
CD
AB
00
01
11
10

00

01

11

10

FC = C + B + D

Se agrupa:

FD:
CD
00

AB
00

10

Se agrupa:

14

11

10

01
11

01

FD = BCD + BD + CD

| Codificadores y Decodificadores con Display

FE:
CD
00

AB
00

01

11

10

01
11

10

11

10

Se agrupa:
FE = CD + BD

FF:
CD
00

AB
00

1
1

01

x
11
10

01

1
1

1
x

x
x

Se agrupa:
FF =

15

A +CD + BD + BC

| Codificadores y Decodificadores con Display

FG:

CD
00

AB

01

1
x

11
10

10

00
01

11

Se agrupa:
FG = A + B + C

Figura 16 Diagrama de compuertas lgicas del sistema


codificador

16

| Codificadores y Decodificadores con Display

D. Implementacin
La tecnologa a utilizar es TTL, los circuitos a utilizar son:
7448, donde la entrada y la salida estn en activo en alto, entonces se
utilizar el Display Ctodo Comn.
7447, donde la entrada en activo es alto y la salida est en activo
bajo, entonces se utilizar el Display nodo Comn.

Figura 17 C. I. 7447

Figura 18 Diagrama de conexiones del C. I. 7447

17

| Codificadores y Decodificadores con Display

Figura 19 Diagrama de conexiones display de siete segmentos

E. Codificador decodificador
Para la creacin de un codificador decodificador tenemos que seguir el siguiente
diagrama:

Figura 20 Diagrama de bloques de un sistema codificador decodificador

18

| Codificadores y Decodificadores con Display

Lo implementamos en el simulador de circuitos:

Figura 21 Codificador - Decodificador

Figura 22 Entrada 5

19

| Codificadores y Decodificadores con Display

Figura 23 Entrada 9

Luego lo implementamos en el protoboard:

20

| Codificadores y Decodificadores con Display

21

| Codificadores y Decodificadores con Display

BIBLIOGRAFA

Floyd, T. L. (2006). Funadamentos de Sistemas Digitales. Madrid: Prentice Hall.


M. Morris Mano, G. D. (2003). Diseo digital. Pearson Educacin.
Montero, A. C. (2009). Electrnica. Editex.

22

También podría gustarte