Está en la página 1de 119

ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Febrero 2012/2013 Tipo A

CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable 1° semana Nacional

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de las preguntas de desarrollo, que deben realizarse en el
espacio que se proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de preguntas de desarrollo.
Preguntas de desarrollo: Cada pregunta de desarrollo vale 2,5 puntos. Las dos supondrán 5 puntos del
total. Se deberá obtener un mínimo de 1 punto en cada pregunta para evaluar el resto de apartados.

1. ¿Qué temas de simulación en detalle se trata en la herramienta multimedia del CAEE?

A) Simulación Básica de Elementos de Física; Simulación Básica de Circuitos y Componentes;


Simulación de Electrónica Analógica; y Simulación de Electrónica Digital ← p28
B) Sólo trata en detalle los temas: Simulación de Electrónica Analógica y Simulación de Electrónica
Digital
C) Simulación con filtrado activo/pasivo; Análisis de Monte Cario; Simulación de Electrónica Analógica; y
Simulación de Electrónica Digital
D) Representación de circuitos en 3D; Análisis de líneas de transmisión con pérdidas; Simulación de
Electrónica Analógica; y Simulación de Electrónica Digital

2. En un entorno CAEE qué etapa precede a la etapa de Simulación

A) Diseño del circuito impreso


B) Compilación - expansión ← p39
C) Simulación térmica y de interferencias
D) Control de calidad

3. ¿Qué tres objetivos persigue la normalización en ISO?

A) Simplificación, Unificación y Especificación ← p69


B) Establecimiento, Distribución e Internacionalización
C) Establecimiento, Distribución y Ordenamiento
D) Establecimiento, Unificación y Distribución

4. ¿Qué significa este símbolo?

A) Cable coaxial
B) Condensador electrolítico
C) Cebador ← p87
D) Conmutador unipolar

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2012/2013 Tipo A [Página 1]
5. A qué botón hay que pulsar en la barra de herramientas para manejar los cursores en la representación de
unas curvas con PSpice

A) Toggle Cursor ← p186


B) Add Trace
C) Place Wire
D) Place Net Alias

6. Para la simulación en electrónica se dispone entre otros en versión libre:

A) Circuitlogix y gEDA
B) The Logic Lab y KTechlab ← p236
C) Oregano y AutoTRAX EDA and DEX
D) Altium Designer y CADSTAR

7. En la descripción de un circuito en PSpice que indica el siguiente comando: IE 10 5 2E-6

A) Indica que hay un generador de corriente IE que varía entre 5 a 10 amperios en incrementos de 1
microamperios
B) Indica que hay un generador de corriente continua IE entre los nudos 10 y 5 del circuito con un valor
de 2 microamperios ← p296
C) Indica que hay un generador de corriente IE10 de valor 2 microamperios con un valor de resistencia
interna de 5 ohmios
D) Indica que hay un generador de corriente IE de valor 2 microamperios con un valor de resistencia
interna que varía entre 5 a 1O ohmios

8. Cómo se llama a la corriente que circula por un diodo zener en polarización inversa:

A) Corriente de saturación ← p427


B) Corriente de pequeña señal
C) Corriente de ánodo
D) Corriente de avalancha

9. El lenguaje VHDL presenta tres estilos diferentes de descripción de sistemas dependiendo del nivel de
abstracción. Estos son:

A) Descripción comportamental algorítimica; Descripción comportamental por flujo de datos; Descripción


secuencial
B) Descripción comportamental algorítimica; Descripción de transferencia entre registros; Descripción
funcional
C) Descripción comportamental algorítmica; Descripción comportamental por flujo de datos; Descripción
estructural ← p614
D) Descripción comportamental algorítimica; Descripción de transferencia entre registros; Descripción de
interconexiones

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2012/2013 Tipo A [Página 2]
10. Qué indica estas declaraciones en VHDL-AMS

[~J)Q.4§~?:] BREAK [Q.C!?:Sl~~~~tgn1~nu [ON


l~n~~!irnffi?L.,~S:()ffell [WHEN ?:~Ql§);;>,~Q:\);.,8Q9l~§:flª]•;

[FOR iggotih~ªJlQLQ1@1títY USE}


l~D:t~t\mffi?LQ.!Jfü1J1.!Y =>expres~ón

A) Declaración secuencial FOR


B) Declaración concurrente FOR
C) Declaración secuencial BREAK
D) Declaración concurrente BREAK ← p707

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2012/2013 Tipo A [Página 3]
Pregunta de desarrollo 1. Describa los tipos de estímulos dinámicos más utilizados que contempla OrCAD
para componentes digitales los cuales están recogidos en las bibliotecas SOURCE y SOURCESTIM.

p349 7.3.2 Modelado de estímulos de entrada


Para simular el funcionamiento de un circuito o componente es necesario, además de modelar el propio componente o
circuito bajo prueba, modelar también los estímulos de entrada. Si los estímulos de entrada no cambian con el tiempo, se dice que
son estáticos, y se modelan con los estados lógicos ‘1’ (HI) o ‘0’ (LOW).
Cuando los valores de los estímulos varían en el tiempo se denominan dinámicos y, por tanto se deben programar de
alguna manera. En OrCAD estos estímulos se modelan mediante componentes de las bibliotecas SOURCE y SOURCESTIM.
Suelen ser de un solo bit o de un número múltiplo de dos, como por ejemplo: 2, 4, 8 y 16. Los más utilizados son los siguientes
(Figura 7.1):
• DigClock: Es un estímulo de un bit que se utiliza como señal de sincronismo. Se puede configurar, entre otros parámetros, el
tiempo a nivel alto (ONTIME) y a nivel bajo (OFFTIME), el retardo (DELAY) y el valor inicial (STARTVAL).
• FileStim: El estímulo más genérico es el que se programa en un simple fichero de texto. Los parámetros más importantes son el
nombre del fichero (FILENAME), incluida la ruta, y la lista de señales (SIGNAME).
• STIM: Es una versión muy práctica porque permite programar mediante comandos de PSpice estímulos de forma más sencilla.

Pregunta de desarrollo 2. Enumere y describa brevemente los tipos de análisis que permite SPICE.

p286 6.4.1.2 Tipos de análisis


A continuación se describen los tipos de análisis que se pueden obtener con SPICE y sus derivados.
6.4.1.2.1 Análisis en continua
A partir de este análisis se obtiene el punto de funcionamiento de los componentes del circuito, pudiendo calcular a su
vez las funciones de transferencia, así como la impedancia de entrada y de salida del circuito simulado. Mediante el
cálculo iterativo de distintos valores de tensión o corriente de entrada se puede calcular un conjunto de curvas
características del circuito.
6.4.1.2.2 Análisis en alterna o frecuencia
Con este análisis se determina el comportamiento del circuito ante señales de pequeña variación. Mediante un barrido
de frecuencia se obtiene el diagrama de Bode, tanto de amplitud como de fase.
6.4.1.2.3 Análisis transitorios
En este análisis se calcula la respuesta del circuito ante señales dependientes del tiempo. Las funciones de entrada
pueden ser sinusoidales, triangulares, pulsantes, o cualquier forma de onda generada por puntos. Además, se pueden
estudiar los armónicos de frecuencia de las señales mediante el análisis de Fourier.
6.4.1.2.4 Análisis de ruido
Mediante los generadores equivalentes de ruido de cada uno de los componentes del circuito, se realiza el análisis de
ruido del mismo, bien en función de una serie de frecuencias discretas, o bien en una gráfica en función de la
frecuencia.
6.4.1.2.5 Análisis de distorsión
Se calcula el comportamiento del circuito para pequeños niveles de distorsión, donde el método de Fourier no resulta
suficientemente representativo, evaluándose la distorsión armónica de segundo y tercer orden, y la intermodulación de
los mismos órdenes.
6.4.1.2.6 Otros análisis
Otros análisis que también se pueden realizar son: el análisis de los esfuerzos térmicos del circuito en función del
tiempo (realizado mediante elementos finitos); el análisis estadístico de Monte Carlo, que permite calcular la
probabilidad de que el circuito a simular, a partir de las distribuciones proporcionadas por el fabricante, cumplas con
sus especificaciones; el análisis del Peor Caso, en función de la variabilidad de algún parámetro; y por último, la
Optimización de determinados parámetros de un circuito, en función de unas especificaciones a cumplir por dicho
circuito.

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2012/2013 Tipo A [Página 4]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Febrero 2012/2013 Tipo B
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable 2° semana Nacional y Original Europa

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de las preguntas de desarrollo, que deben realizarse en el
espacio que se proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de preguntas de desarrollo.
Preguntas de desarrollo: Cada pregunta de desarrollo vale 2,5 puntos. Las dos supondrán 5 puntos del
total. Se deberá obtener un mínimo de 1 punto en cada pregunta para evaluar el resto de apartados.

1. En el modelado de máquinas de estado, existen dos tipos más utilizados de máquinas de estado:

A) Tipo Moore, en las que las salidas sólo dependen de las entradas y la tipo Mealy en las que las salidas
dependen del estado interno.
B) Tipo Moore, en las que las salidas dependen de las entradas y del estado interno y la tipo Mealy en la
que la salida depende del estado interno
C) Tipo Moore, en las que las salidas sólo dependen del estado interno y la tipo Mealy, en las que la
salida depende del estado interno y del entradas ← p391
D) Tipo Moore, en las que las salidas sólo dependen del estado interno y la tipo Mealy, en las que la
salida depende de las entradas

2. En el proceso de implementación con VHDL en un dispositivo reconfigurable, la etapa de Adaptación o


ajuste consiste en:

A) Todo el diseño se sintetiza en un único circuito que consiste en la interconexión de Logic Elements del
tipo de los que dispone el dispositivo físico que se va a utilizar
B) Se verifica el correcto funcionamiento del circuito sintetizado
C) Se determina cada Logic Elements que se va a utilizar de la FPGA. Esto implica, elegir las rutas para
interconectar correctamente los distintos elementos lógicos ← p579
D) Se analizan los tiempos de setup y de hold y los retardos de propagación a lo largo de las
interconexiones del circuito

3. En el diseño de un transformador cuando se pone a 1 el parámetro COUPLING en PSpice, ¿qué significa?

A) Que la inductancia mutua entre bobinas es igual a 1


B) Que las dos bobinas están totalmente acopladas ← p302
C) Que el valor de las bobinas del transformador es igual a 1H
D) Que la bobina del primario es igual a 1H

4. Qué tres periodos se distingue en la curva de mortalidad de un componente

A) Fallos prematuros, vida útil, fase de envejecimiento


B) Fallos de estacionamiento, vida útil, fase de envejecimiento
C) Fallos previos, vida útil, fase de desgaste
D) Fallos infantiles, vida útil, fase de desgaste ← p757

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2012/2013 Tipo B [Página 1]
5. El siguiente modelo representa un interruptor analógico controlado digitalmente. Indique la opción habría
que sustituir por la línea de puntos para que describa correctamente el modelo deseado.

ENTITY switch IS
PORT(
TERMINAL a, b: electrical;
SIGNAL control: IN std_ulogic);
END ENTITYanalog_switch;

ARCHITECTURE arch switch OF switch IS


QUANTITY v ACROSS i THROUGH a TO b;
BE GIN
IF control= 'l' USE
V== O.O;
ELSE

ENDUSE;
END ARCHITECTURE ideal;

A) null;
B) v == va-vb;
C) i ==O.O; ← p733
D) v==va;

6. Los modos de transmisión de calor son:

A) Radiación y conducción
B) Radiación, conducción y convección ← p465
C) Radiación, conducción e inducción
D) Radiación y convección

7. En un entorno de simulación con PSpice, ¿qué función realiza el programa Probe?

A) Realiza propiamente la simulación, como entrada de datos tiene el fichero de extensión .cir
B) Es el programa que permite ver el resultado de la simulación y crear las gráficas correspondientes ← p296
C) Es el programa que permite colocar los elementos del circuito gráficamente y asignarle valores a los
componentes electrónicos.
D) Es el programa que se encarga de leer los datos del fichero con extensión .sch

8. Que afirmación es correcta en un transistor bipolar:

A) Se puede controlar IE modificando la tensión de entrada de control VsE, con independencia del valor de
las tensiones derivadas Ves y VcE·
B) Se puede controlar le modificando la tensión de entrada de control VsE, con independencia del valor de
las tensiones derivadas Ves y VcE· ← p188
C) Se puede controlar le modificando la tensión de control Ves, con independencia del valor de las
tensiones VsE y VcE·
D) Se puede controlar IE modificando la tensión de control Ves, con independencia del valor de las
tensiones VsE y VcE·

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2012/2013 Tipo B [Página 2]
9. Este símbolo relativo a los relés que indica:

A) Mando de un relé de enclavamiento mecánico


B) Mando de un relé rápido
C) Mando de un relé polarizado
D) Mando de un relé de remanencia ← p154

1O. Qué significan las siglas CAEE

A) Computer Along Electronic Engineering


B) Computer Aided Electrical Engineering
C) Computer Aided Electronic Engineering ← p30
D) Computer Along Electrical Engineering

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2012/2013 Tipo B [Página 3]
Pregunta de desarrollo 1. Explique la estructura interna de programas que comparten en líneas generales
todos los simuladores, correspondiente a la Figura que se muestra a continuación que en este caso hace
referencia al entorno de simulación de circuitos PSpice-OrCAD.

El proceso de simulación consta varias fases. Cada fase la ejecuta un programa distinto y la salida de un programa sirve como
entrada del siguiente. Esos programas tienen diversos nombres; aquí los utilizados por OrCAD-PSpice.

1) DISEÑO GRÁFICO DEL CIRCUITO


Se realiza el esquema del circuito a simular, la elección de componentes y captura de esquemas, usándose modelos básicos,
librerías de componentes y macromodelos. Para el modelo se usan librerías .lib, que se usarán más tarde en la simulación y para
la parte gráfica se utilizan librerías .olb, contienen referencias a librerías .lib.
Se generan ficheros .dsn, que son una versión binaria de la sección de los archivos de texto .cir donde se definen los
componentes del circuito y sus interconexiones, según la sintaxis Spice.
Programas: "Schematics" o "Capture", así como "Capture CIS" o "Design Entry", generándose archivos de proyecto .opj.

2) PERFILES DE SIMULACIÓN
Se especifica el tipo de simulación, que pueden ser de punto de trabajo (Bias Point), transitorios en el dominio del tiempo (Time
Domain Transient), barridos de CC (DC Sweep) o barridos de CA (AC Sweep/Noise). Aparte de los parámetros de cada tipo de
simulación, como rango de voltajes/corrientes/frecuencias, se especifican otras opciones como cálculo de ruido, un segundo
rango de valores de un componente/modelo, temperaturas, etc.
Estos perfiles de simulación se almacenan en archivos de proyecto .opj o forman parte del .cir, con la sintaxis Spice.

3) EJECUCIÓN DE LA SIMULACIÓN
A partir de la definición del circuito (.cir o fichero de texto .net) y de los perfiles de simulación se realiza la simulación
propiamente dicha, generándose un fichero de texto .out con los resultados de los comandos ejecutados durante la simulación y
sus posibles errores y un fichero binario .dat que contiene las variables del circuito y sus valores.
Programas: "Spice" o "PSpice AD".

4) ANÁLISIS DE LOS RESULTADOS


Con los datos contenidos en el archivo .dat se realizan gráficas con ejes y trazas, tanto en el dominio del tiempo como de la
frecuencia, así como un análisis de Fourier (FFT). Para las trazas hay disponibles funciones que realizan cálculos sobre las
variables, como hallar la fase o mostrar los valores en decibelios. Además, se dispone de herramientas gráficas para medir
valores así como detectar máximos y mínimos, diferencias, promedios y otras funciones.
Programas: "Probe" y "PSpice AD".

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2012/2013 Tipo B [Página 4]
Pregunta de desarrollo 2. A partir del diseño del circuito que se muestra en la figura 1. ¿Qué se está
representando en la gráfica (Figura 2)? ¿Qué valor toma TPLHMN y TPLHMX?

Figura 1.

rn ;----~----~----~----~----:----~----~----~--------------.-----------------------------,.----------.----T----.-------------------¡~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~::~~~~~~~~~~~~~~~~~~~~~~~~.[~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~.!
~---------,.-----,.---- . .-----¡ ' ,1 :

:)t!1
' ' ' i ' 1 :

i----~----~----~----•---+----•----:_____:____ "----~----~----~----~----•----~----•----~----'-----:----~-----:----~----~----~----~----~----•----•----'----+----'----~----~----~----~----~----•----•----:____ +____:____ "----~----~----~----~----•----•----~----i

Figura 2.
La gráfica muestra la evolución temporal de las señales IN y OUT.

La señal IN está completamente determinada, mientras que, en la señal OUT, hay zonas de indeterminación
(Hazards) debido al tiempo de respuesta mínimo y máximo de la puerta 7404 (tiempo de propagación), tanto en el
flanco de subida como el de bajada de la señal IN.

Esas zonas de indeterminación se muestran mediante zonas sombreadas, y van desde 8 ns a 20 ns y desde 30 ns a
47 ns aproximadamente.

TPLHMN = 3 ns
TPLHMX = 15 ns

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2012/2013 Tipo B [Página 5]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Septiembre 2012/2013 Tipo 1
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable Original Nacional/Unión Europea

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de las preguntas de desarrollo, que deben realizarse en el
espacio que se proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de preguntas de desarrollo.
Preguntas de desarrollo: Cada pregunta de desarrollo vale 2,5 puntos. Las dos supondrán 5 puntos del
total. Se deberá obtener un mínimo de 1 punto en cada pregunta para evaluar el resto de apartados.

1. En un entorno CAEE qué etapa se debe realizar a continuación de la etapa de Fabricación


A) Testabilidad
B) Simulación térmica y de interferencias
C) Control de calidad ← p41
D) Es la etapa final en un entorno CAEE

2. Qué entidad es responsable de la elaboración de las normas técnicas en España


A) AIEE
B) AENOR ← p66,777
C) ASA
D) IEC

3. ¿Qué otro nombre recibe la tensión de disrupción propia de los diodos?


A) Tensión inversa de pico
B) Tensión inversa de codo
C) Tensión directa de pico no repetitiva
D) Tensión inversa de pico no repetitiva ← p185

4. ¿Cómo se llama los dos módulos básicos que siempre consta el software de diseño electrónico Proteus?
A) Ares e lsis ← p233
B) LabEditor y PCBdesigner
C) Electra y CircuitDesigner
D) VSM y RouterTool

5. En OrCAD con es la extensión de las bibliotecas de símbolos y la de modelos


A) Biblioteca de símbolos: .sch y Biblioteca de modelos: .olb
B) Biblioteca de símbolos: .sch y Biblioteca de modelos: .lib
C) Biblioteca de símbolos: .olb y Biblioteca de modelos: .lib ← p176,238
D) Biblioteca de símbolos: .lib y Biblioteca de modelos: .olb

Diseño de Circuitos Eléctricos Asistido por Ordenador- Septiembre 2012/2013 Tipo 1 [Página 1]
6. ¿Qué significa el parámetro LAMBDA para el modelo del transistor MOSFET?
A) Coeficiente de modulación del ancho del canal ← p324
B) Longitud del canal
C) Ancho de puerta
D) Movilidad superficial de los electrones

7. Para qué sirve ENTITY y ARCHITECTURE en VHDL


A) Para definir una entidad y la arquitectura de dicha entidad respectivamente
B) Para definir la interfaz de componentes básicos y la arquitectura de los mismos, respectivamente
C) Para definir la interfaz de un módulo o sistema y para describir su funcionamiento, respectivamente ← p347
D) Para definir la interfaz de una entidad y la arquitectura de la misma respectivamente

8. En qué se mide la resistividad de un conductor eléctrico


A) n X m 2
B) .!1/m 2
C) nxm ← p461
D) .!1/m

9. Qué significa la tensión máxima de trabajo en una resistencia


A) Tensión continua máxima que puede soportar la resistencia en régimen permanente a una
temperatura superior a los 30º
B) Tensión continua máxima que puede soportar la resistencia en régimen permanente, en aire inmóvil y
a la temperatura ambiente a fin de superar la potencia de disipación máxima
C) Tensión continua máxima que puede soportar la resistencia en régimen permanente, en aire inmóvil y
a la temperatura superior de 30º a fin de superar la potencia de disipación máxima
D) Tensión continua máxima que puede soportar la resistencia en régimen permanente, en aire inmóvil y
a la temperatura ambiente a fin de no superar la potencia de disipación máxima ← p472

10. ¿Cómo es el proceso de trazado de la placa del PCB?


A) Se hace en la fase de diseño y es un paso opcional que se contempla ante la posibilidad de
producción
B) De forma automática se emplazan los componentes y se colocan las pistas siguiendo una estrategia
de reducción de gastos. Tal proceso es propio de la fase de montaje
C) De forma automática se emplazan los componentes y se colocan las pistas siguiendo una estrategia
de optimización predefinida. Tal proceso es propio de la fase de montaje ← p553
D) De forma automática se emplazan los componentes y se colocan las pistas siguiendo una estrategia
de optimización predefinida. Tal proceso es propio de la fase de simulación

Diseño de Circuitos Eléctricos Asistido por Ordenador- Septiembre 2012/2013 Tipo 1 [Página 2]
Pregunta de desarrollo 1. Explique brevemente los dos módulos que consta el software comercial de diseño
electrónico Proteus.
Proteus es un software de diseño electrónico desarrollado por Labcenter Electronics que consta de dos módulos:
Ares e Isis y que incluye un tercer módulo opcional denominado Electra.

ISIS: Mediante este programa podemos diseñar el circuito que deseemos con componentes muy variados, desde una
simple resistencia hasta algún que otro microprocesador o microcontrolador, incluyendo fuentes de alimentación,
generadores de señales y muchas otras prestaciones. Los diseños realizados en Isis pueden ser simulados en tiempo
real. Una de estas prestaciones es VSM, una extensión de la aplicación con la cual podremos simular, en tiempo
real, todas las características de varias familias de microcontroladores, introduciendo nosotros mismos el programa
que queramos que lleven a cabo.

ARES: Ares es la herramienta de rutado de Proteus, se utiliza para la fabricación de placas de circuito impreso, esta
herramienta puede ser utilizada de manera manual o dejar que el propio programa trace las pistas, aunque aquí
podemos también utilizar el tercer módulo, Electra (Electra Auto Router), el cual, una vez colocados los
componentes trazará automáticamente las pistas realizando varias pasadas para optimizar el resultado.

Pregunta de desarrollo 2. Explique brevemente tres aplicaciones más comunes de los diodos.
p429 8.3 Recortadores, fijadores y multiplicadores
Los circuitos recortadores, fijadores, y multiplicadores son las aplicaciones más comunes de los diodos y se definen de
la siguiente manera:
• Un recortador: un circuito que consiste en una combinación de diodos y resistencias y limita la magnitud de una
forma de onda.
• Un fijador: un circuito que consiste en una combinación en serie de un diodo y un condensador y añade una
componente continúa a una forma de onda.
• Un multiplicador: un circuito que consiste en una combinación de diodos y condensadores y proporciona una
tensión continúa de salida igual a un múltiplo de la tensión de entrada.

Diseño de Circuitos Eléctricos Asistido por Ordenador- Septiembre 2012/2013 Tipo 1 [Página 3]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Septiembre 2012/2013 Tipo 2
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable Reserva Nacional/Unión Europea

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de las preguntas de desarrollo, que deben realizarse en el
espacio que se proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de preguntas de desarrollo.
Preguntas de desarrollo: Cada pregunta de desarrollo vale 2,5 puntos. Las dos supondrán 5 puntos del
total. Se deberá obtener un mínimo de 1 punto en cada pregunta para evaluar el resto de apartados.

1. Qué se entiende por tolerancias microgeométricas


A) A la suma o diferencia de dos o más tolerancias parciales que forman parte de una cadena
B) Son aquellas que se ocupan de la forma y la posición de las partes que se van a acoplar
C) Son aquellas que se ocupan de la posición de las partes que se van a acoplar
D) Son aquellas que se pueden considerar como los márgenes de error admisibles referidos a la
superficie de los sólidos y que permiten determinar su grado de rugosidad ← p784

2. Los módulos en las FPAA se denominan:


A) CABs ← p726
B) LABs
C) AABs
D) DABs

3. ¿Cómo se llama la carpeta dónde se añaden los ficheros en un proyecto OrCAD?


A) Input Design
B) In Design ← p610
C) Input Source
D) Source Folder

4. Los problemas de ambigüedad en el nivel lógico de las señales de un circuito secuencial:


A) Son consecuencia principalmente de los tiempos de setup y de hold de los biestables y del tiempo de
propagación de las puertas lógicas. ← p587
B) Están provocados por una definición errónea de los niveles eléctricos de la tecnología utilizada.
C) Son debidos exclusivamente a los tiempos de propagación de las puertas lógicas.
D) Se deben principalmente a los tiempos de hold de los biestables.

5. La acción habitual después de la fase de montaje es:


A) La producción de circuitos ← p560
B) El ciclo de vida termina en esta fase
C) Revisar el diseño por si se producen fallos en la cadena de montaje
D) Se puede de forma optativa realizar un re-diseño para ajustar las imperfecciones detectadas en el
montaje

Diseño de Circuitos Eléctricos Asistido por Ordenador - Septiembre 2012/2013 Tipo 2 [Página 1]
6. En los diodos de señal qué diferencia hay entre los de silicio frente a los de germanio
A) Los de silicio presentan menor caída de tensión para entrar en estado de conducción que los de
germanio
B) Los de germanio trabajan en rangos de temperatura más amplios
C) Los de silicio presentan mayor caída de tensión para entrar en estado de conducción que los de
germanio ← p523
D) Tienen igual funcionamiento tan sólo difieren en el coste de fabricación

7. En el modelado de una unidad aritmética, los números del tipo SIGNED están codificados en
complemento a:
A) 3
B) 10
C) 8
D) 2 ← p378

8. Sea un fichero "Ejemplo.cir" con las siguientes líneas. ¿Cuánto vale la inductancia mutua?

L11250mH
L2 2 3 10mH
K12L1 L2 0.7

A) 0.0157 ← p299
B) 0.0005
C) 0.00035
D) 0.035

9. El programa de simulación "Ohm Zone" que tipo de simulación permite así como si permite la elaboración
de PCBs
A) Analógica y si permite elaborar PCBs
B) Digital y si permite elaborar PCBs
C) Mixta y no permite elaborar PCBs
D) Analógica y no permite elaborar PCBs ← p216

1O. En el símbolo esquemático de un transistor, la flecha indica:


A) El flujo de electrones en el emisor.
B) El sentido convencional de la corriente en el emisor. ← p189
C) El flujo de electrones en el colector.
D) El sentido convencional de la corriente en el colector.

Diseño de Circuitos Eléctricos Asistido por Ordenador - Septiembre 2012/2013 Tipo 2 [Página 2]
Pregunta de desarrollo 1. Los tipos de análisis que más se utilizan en los programas de simulación son: el
análisis de transitorios, análisis en continua y análisis en alterna. Explique brevemente en qué consisten.

Pregunta de desarrollo 2. Dentro de las características de las resistencias lineales explique brevemente:
Tensión máxima nominal; Índice de ruido; Resistencia crítica; y Estabilidad.

p472 9.3.3.1.4 Tensión máxima nominal


Es la tensión máxima que puede soportar la resistencia sin provocar su ruptura dieléctrica en aire inmóvil y a
temperatura ambiente. La tensión máxima nominal depende de la tecnología de fabricación y de la longitud de la
resistencia (el valor es más grande cuanto mayor sea la longitud).
9.3.3.1.5 Índice de ruido
En las resistencias se genera una tensión de ruido provocada por el paso de la corriente eléctrica por el cuerpo resistivo.
El nivel de ruido depende de la intensidad que le atraviesa, el material resistivo empleado y las características
constructivas. Los fabricantes lo suelen indicar en μV/V.
9.3.3.1.7 Resistencia crítica
Es el valor óhmico de la resistencia de una serie para la que el valor de la tensión máxima de trabajo y la tensión
máxima nominal son iguales.
9.3.3.1.10 Estabilidad
La estabilidad se define como la independencia del valor óhmico de la resistencia respecto a los factores que le afectan
(humedad, envejecimiento, temperatura, etc.). En la Figura se muestra la estabilidad del valor óhmico de una
determinada resistencia frente a determinadas condiciones de humedad.

Diseño de Circuitos Eléctricos Asistido por Ordenador - Septiembre 2012/2013 Tipo 2 [Página 3]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Febrero 2013/2014 Tipo A
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable 1° semana Nacional

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Las dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema para evaluar el resto de apartados.

1. Qué indica el comando de formato de PSpice: .SUBCKT

A) Indica el final de la descripción de un subcircuito


B) Indica el final del fichero con este comando
C) Indica que se va a describir un subcircuito ← p297
D) Indica que se va a describir un componente secundario

2. ¿Qué indica este componente de OrCAD?


OFFTIME = .5uSDSTM 1
ONTIME = .5uS ¡cLK~."n
DELAY = - ~.....,.... ""''
STAR1VAL =O
OPPVAL = 1

A) DigClock, estímulo de un bit que se utiliza como señal de sincronismo ← p350


B) FileStim, estímulo genérico que se programa en un simple fichero de texto
C) STIM, estimulo que permite programarse mediante comandos de PSpice
D) FileStim, estímulo de un bit que se utiliza como señal de sincronismo

3. En VHDL cuando se describe un circuito mediante la función que realiza y dicha descripción se realiza
mediante sentencias concurrentes se dice que es una descripción:

A) Descripción funcional de flujo de datos ← p356


B) Descripción funcional algorítmica
C) Descripción estructural de flujo de datos
D) Descripción estructural algorítmica

4. ¿Qué nos indica la conductividad eléctrica?

A) La oposición del material al paso de la electricidad


B) La facilidad con la que el material permite el flujo de la corriente ← p461
C) La conductividad es la inversa al cuadrado de la resistividad eléctrica
D) La conductividad se mide en S x m

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2013/2014 Tipo A [Página 1]
5. Dentro del marcado de resistencias siguiendo el método de marcado numérico, a qué corresponde esta
resistencia 835:

A) 8300 Q
B) 83000 Q
C) 8300 k O ← p476
D) 83000 k Q

6. El orden correcto de las fases del ciclo de vida es:

A) Simulación, diseño y montaje y pruebas


B) Diseño, simulación y montaje y pruebas ← p549
C) Montaje, simulación y diseño
D) Montaje, diseño y simulación.

7. A partir de una FPGA modelo EP1 C3T100C6 de la familia Cyclone, la cual posee 24 columnas y 13 filas de
LAB, y cada LAB tiene 10 LE. ¿Cuántos elementos lógicos se tiene en total?

A) 312
B) 3120 ← p581
C) 130
D) 240

8. La simulación para mostrar el comportamiento de un circuito diseñado con VHDL puede obtenerse
mediante dos tipos de representaciones las cuales son:

A) Wave y List ← p624


B) Structure y List
C) Schedule y List
D) Schedule y Table

9. Cuáles son las declaraciones simultáneas que contempla VHDL-AMS:

A) lf, case y null


B) Declaración simultánea simple; if; case; null; y Declaración simultánea procedural ← p702
C) While, if y case
D) Declaración simultánea simple; while; if; case; y Declaración simultánea procedural

1O. ¿Qué significa este símbolo?

A) Caja de empalmes, (unifilar)


B) Base y clavija multipolares (unifilar)
C) Base y clavija multipolares (multifilar) ← p84
D) Clavija y conector tipo jack con contactos de ruptura

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2013/2014 Tipo A [Página 2]
Problema 1. Para analizar el funcionamiento de un circuito digital es necesario disponer de un banco de
pruebas, que puede ser: software o hardware y que en general, debe disponer de una serie de elementos.
Dibuje un esquema general del escenario de pruebas y explique brevemente los elementos que lo forman.
p566 11.2 Introducción al análisis de circuitos digitales
Para analizar el funcionamiento de un circuito digital es necesario disponer de un banco de pruebas, que puede ser: software o hardware, y que,
en general, debe disponer de los siguientes elementos (Figura 11.1): 1. Un generador de estímulos de entrada. 2. El circuito o sistema digital
bajo prueba con su interfaz bien definida. 3. Un elemento que visualice los resultados y que los pueda memorizar.
Tanto el banco de pruebas como el sistema bajo prueba pueden ser software o hardware. En los ejemplos que se simularon con ModelSim en el
Tema 7, el banco de pruebas era totalmente software, y, junto con la entidad bajo prueba, se modelaron en VHDL.
Si se utiliza un programa que, además de la simulación, realice la síntesis, su adaptación al hardware y, finalmente, la configuración de un
dispositivo real, entonces, se le puede conectar un generador de patrones para generar las entradas y un analizador lógico para visualizar y
almacenar las salidas. En este caso el sistema completo es en su totalidad hardware.
Lo que hay que tener en cuenta es que si se está describiendo un circuito para implementar en un hardware, como puede ser una FPGA,
entonces, no se pueden modelar las sentencias del banco de pruebas como se hacía en el Tema 7, porque sólo valen para simulación y no para
síntesis. En resumen, no es lo mismo el VHDL para simulación que para síntesis. Todo el VHDL se puede simular, pero no todo se puede
sintetizar.
En los diseños reales, en el proceso general de compilación del diseño se hace
una asignación de recursos lógicos reales para implementar las funciones
requeridas, que previamente se comprobaron que funcionaban correctamente
gracias al análisis o simulación funcional. Y, después, se hace una simulación
temporal.
En cuanto al programa OrCAD PSpice, en el Tema 7 ya se utilizó la simulación
sobre el hardware, porque sus modelos de PSpice ya incluyen el modelo
temporal y eléctrico. Por tanto, ya se realizaron numerosas simulaciones
temporales. En este tema se amplía el uso de esta herramienta para estudiar cómo
funciona el análisis del Peor Caso y el análisis, también importante, a nivel
eléctrico, ya que, al fin y al cabo, las entradas y salidas de los circuitos digitales
deben manejar tensiones y corrientes.
Problema 2. A partir del diseño del circuito que se muestra en la figura 1. ¿Qué se está representando en la
gráfica (Figura 2)? ¿Qué valor toma TPLHMN y TPLHMX?

U2A

COMMANDl

COMJ:-.L.L.ND 2 +2ns 1.
Figura 1.
r--------~---------:---------:--------~---------r---------:--------~---------~--------~----------:---------~---------:--------~---------~---------r---------:--------~---------~--------~---------1----------

IN ~ • :
.

i '
'
i
~
.


'
'

.


i \ i '
'
\

. . . .
¡.........;.........
i.. •••••••••:••••••••• ~---·····+·········'-········;········-~--------~---------~---······; _________:......... ~---------~---·····+·········:········-~---·····-~--------~---------~---······

Figura 2.
La gráfica muestra la evolución temporal de las señales IN y OUT. La señal IN está completamente determinada,
mientras que, en la señal OUT, hay una zonasde indeterminación (Hazard) debido al tiempo de respuesta mínimo
y máximo de la puerta 7432 (tiempo de propagación), tanto en el flanco de subida como el de bajada de la señal
IN. En la gráfica hay una zona de indeterminación, que se muestra mediante una zona sombreada, y que va desde
6 ns a 17 ns, y que corresponde a la respuesta al flanco de subida de la señal IN.
TPLHMN = 4 ns
TPLHMX = 15 ns

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2013/2014 Tipo A [Página 3]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Febrero 2013/2014 Tipo B
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable 2° semana Nacional y Original Europa

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Las dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema para evaluar el resto de apartados.

1. ¿A qué es igual la densidad de corriente?

A) Es el producto entre la intensidad de corriente que circula por un conductor y la longitud del mismo
B) Es el producto entre la intensidad de corriente que circula por un conductor y la sección del mismo
C) Es el cociente entre la intensidad de corriente que circula por un conductor y la longitud del mismo
D) Es el cociente entre la intensidad de corriente que circula por un conductor y la sección del mismo ← p463

2. En la analogía eléctrica-térmica a qué equivale en un sistema térmico un generador de tensión del sistema
eléctrico.

A) Fuente de calor ← p466


B) Flujo térmico
C) Diferencia de temperatura
D) Resistencia térmica

3. Dentro de los condensadores plásticos qué tipos se distinguen:

A) De película metálica; de papel y poliéster


B) Poliéster; electrolítico de aluminio; y de película metálica
C) De poliéster; poliestireno; polipropileno; y policarbonato ← p514
D) Electrolíticos de tántalo y de aluminio; de mica y cerámicos

4. Se entiende por fallo a la terminación de la capacidad de un dispositivo para realizar su función dentro de
unos ciertos límites definidos de actuación. Según en qué instante se produzcan se pueden clasificar como:

A) Precoces, aleatorios y de desgastes ← p753


B) Previos, aleatorios, secuenciales y de tiempo de vida
C) Previos y de desgaste
D) Aleatorios y de desgaste

5. Los modelos lógicos de fiabilidad son:

A) subsistemas y componentes
B) modelo serie y paralelo
C) modelo serie, paralelo y mixto ← p766
D) modelo funcional y estructural

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2013/2014 Tipo B [Página 1]
6. Se puede definir la normalización como:

A) El trabajo sistemático de simplificación, agrupación y especialización que se aplica a los elementos y


problemas repetitivos de la producción industrial
B) El trabajo sistemático de estandarización, unificación y especialización que se aplica a los elementos y
problemas repetitivos de la producción industrial
C) El trabajo sistemático de simplificación, unificación y especialización que se agrupa a los elementos y
problemas repetitivos de la producción industrial
D) El trabajo sistemático de simplificación, unificación y especificación que se aplica a los elementos y
problemas repetitivos de la producción industrial ← p775

7. ¿Qué significa este símbolo?

A) Resistencia (símbolo general)


B) Resistencia variable de valor preajustado
C) Resistencia dependiente de la tensión
D) Elemento calefactor ← p155

8. Para la simulación de fallos en circuitos se han desarrollado diversos algoritmos de distintas características
y aplicaciones, ¿Cuál de los siguientes es el más moderno?

A) Simulación reductiva
B) PPSFP ← p43
C) Simulación concurrente
D) Simuladores con vectores en paralelo

9. Acerca de la simbología la norma a nivel internacional IEC 60617, se publicó en España como la norma:

A) EN 60617
B) UNE-EN 60617 ← p80
C) NEC 60617
D) IEEE 60617

1O. La ganancia (13) en los transistores bipolares:

A) Toma valores entre 10 y 1000 y se calcula dividiendo la corriente del colector por la de la base ← p188
B) Toma valores entre 10 y 100 y se calcula dividiendo la corriente del colector por la de la base
C) Toma valores entre 10 y 100 y se calcula dividiendo la corriente de colector por la del emisor
D) Toma valores entre 10 y 10000 y se calcula dividiendo la corriente del colector por la del emisor

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2013/2014 Tipo B [Página 2]
Problema 1. Rellene la tabla siguiente donde se comparan diferentes simuladores:

Programa Esquemáticos Tipo de simulación Elaboración de PCBs


(Sí/No) (Digital/Analógica/Mixta) (Sí/No)
Circuitlab Sí Mixta No
Logic.ly Sí Digital No
Ohm Zone Sí Analógica No
gEDA Sí Mixta Sí
Microp-cap Sí Mixta No
PSpice NO Sí Mixta No
Multisim Sí Mixta No
Proteus Sí Mixta Sí

Problema 2. Explique los tres principales modelos empleados en los simuladores digitales

p283 6.3 Simuladores digitales


Para simular el comportamiento de un sistema digital se suelen utilizar estructuras lógicas elementales, tales como puertas del tipo
NOT, OR, AND, NOR, NAND, etc., que reciben el nombre de primitivas, definiéndose para cada variable del sistema un número
posible de estados que suele variar entre seis y doce. En estos simuladores se puede realizar un estudio temporal, introduciendo en
los modelos de las primitivas, parámetros que representen el retardo característico de cada puerta. Si bien uno de los simuladores
lógicos más conocidos es el HILO, comercializado por varios distribuidores de diseño asistido por ordenador, en la actualidad son
muchos los fabricantes que introducen productos como MicroLogic, CADENCE OrCAD, Mentor Graphics, PSpice, Susie, etc.
A continuación se describen los principales modelos empleados en los simuladores digitales.

6.3.1 Modelos de puertas


Son, posiblemente, los más sencillos que se pueden utilizar. Las primitivas que utiliza el simulador se corresponden con las
funciones lógicas características de las puertas NOR, NAND, NOT, etc., pudiendo llegarse, en algunos casos, a modelar los
transistores que componen los circuitos de conmutación, considerando que se comportan como interruptores casi ideales.

6.3.2 Modelos descriptivos (VHDL)


Se emplean en simuladores que describen cada uno de los componentes mediante un lenguaje de alto nivel, originalmente Pascal
o C y actualmente lenguajes específicos con el VHDL (VHSIC - Hardware Description Language) y sus variantes.
El modelo VHDL proviene de Very High Speed Integrated Circuit (VHSIC) Hardware Description Language, sustituyéndose
VHSIC por V para abreviar. El VHDL es un lenguaje derivado del lenguaje de alto nivel ADA.
Los circuitos en VHDL se describen dentro de una estructura conocida como arquitectura (architecture), y para ejecutar varias
sentencias de forma secuencial se utiliza una subestructura llamada proceso (process), contenida en la arquitectura. Esto permite
la realización de un diseño modular, jerárquico y por tanto, de anidamiento entre módulos.
La arquitectura tendrá la declaración de los componentes que forman el circuito, donde se definen las variables de entrada y de
salida; seguidamente la especificación de la arquitectura en donde se define el componente, del tipo estructura o comportamiento;
a continuación, se declaran las señales que se van a aplicar al circuito y por último se aplican dichas señales al circuito, todo esto
según la sintaxis del VHDL.
El VHDL realiza la simulación mediante sucesos. Un suceso se define como todo cambio de valor de una señal que se transmite y
que puede provocar otros sucesos. Aún cuando la ejecución del programa de simulación sea secuencial todos los sucesos se
resuelven como si fueran simultáneos.

6.3.3 Modelos físicos


Se utilizan cuando la complejidad del sistema es tal que no resulta posible expresar con precisión su funcionamiento con los
modelos anteriormente comentados. Se utilizan siempre con sistemas ya fabricados, de manera que el sistema se conecta sobre un
zócalo adecuado, simulándose su funcionamiento en condiciones reales de trabajo, enviando el simulador los estímulos del
sistema y recogiendo las salidas producidas ante dichas entradas.

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2013/2014 Tipo B [Página 3]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Septiembre 2013/2014 Tipo 1
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable Original Nacional/Unión Europea

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Los dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema para evaluar el resto de apartados.

1. Qué indica el comando de formato de PSpice: .SUBCKT =14F1-1


A) Indica el final de la descripción de un subcircuito
B) Indica el final del fichero con este comando
C) Indica que se va a describir un subcircuito
D) Indica que se va a describir un componente secundario

2. ¿Qué indica este componente de OrCAD? =14F1-2

OFFTIME = .5uSDSTM 1
ONTIME = .5uS ~--n
DELAY = ~-.,~

STARTVAL =O
OPPVAL = 1

A) DigClock, estímulo de un bit que se utiliza como señal de sincronismo


B) FileStim, estímulo genérico que se programa en un simple fichero de texto
C) STIM, estimulo que permite programarse mediante comandos de PSpice
D) FileStim, estímulo de un bit que se utiliza como señal de sincronismo

3. En VHDL cuando se describe un circuito mediante la función que realiza y dicha descripción se realiza
mediante sentencias concurrentes se dice que es una descripción: =14F1-3
A) Descripción funcional de flujo de datos
B) Descripción funcional algorítmica
C) Descripción estructural de flujo de datos
D) Descripción estructural algorítmica

4. ¿Qué nos indica la conductividad eléctrica? =14F1-4


A) La oposición del material al paso de la electricidad
B) La facilidad con la que el material permite el flujo de la corriente
C) La conductividad es la inversa al cuadrado de la resistividad eléctrica
D) La conductividad se mide en S x m

5. ¿A qué es igual la densidad de corriente? =14F2-1


A) Es el producto entre la intensidad de corriente que circula por un conductor y la longitud del mismo
B) Es el producto entre la intensidad de corriente que circula por un conductor y la sección del mismo
C) Es el cociente entre la intensidad de corriente que circula por un conductor y la longitud del mismo
D) Es el cociente entre la intensidad de corriente que circula por un conductor y la sección del mismo

Diseño de Circuitos Eléctricos Asistido por Ordenador- Septiembre 2013/2014 Tipo 1 [Página 1]
6. Dentro de los condensadores plásticos qué tipos se distinguen: =14F2-3
A) De película metálica; de papel y poliéster
B) Poliéster; electrolítico de aluminio; y de película metálica
C) De poliéster; poliestireno; polipropileno; y policarbonato
D) Electrolíticos de tántalo y de aluminio; de mica y cerámicos

7. El orden correcto de las fases del ciclo de vida es: =14F1-6


A) Simulación, diseño y montaje y pruebas
B) Diseño, simulación y montaje y pruebas
C) Montaje, simulación y diseño
D) Montaje, diseño y simulación

8. A partir de una FPGA modelo EP1 C3T1 OOC6 de la familia Cyclone, la cual posee 24 columnas y 13 filas
de LAB, y cada LAB tiene 1O LE. ¿Cuántos elementos lógicos se tiene en total? =14F1-7
A) 312
B) 3120
C) 130
D) 240

9. La simulación para mostrar el comportamiento de un circuito diseñado con VHDL puede obtenerse
mediante dos tipos de representaciones las cuales son: =14F1-8
A) Wave y List
B) Structure y List
C) Schedule y List
D) Schedule y Table

10. Cuáles son las declaraciones simultáneas que contempla VHDL-AMS: =14F1-9
A) lf, case y null
B) Declaración simultánea simple; if; case; null; y Declaración simultánea procedural
C) While, if y case
D) Declaración simultánea simple; while; if; case; y Declaración simultánea procedural

Diseño de Circuitos Eléctricos Asistido por Ordenador- Septiembre 2013/2014 Tipo 1 [Página 2]
Problema 1. Explique los tres objetivos fundamentales que persigue la normalización. A continuación de
acuerdo a la norma UNE-EN 60617 (IEC 60617). Dibujo tres símbolos básicos relativos a conductores,
componentes pasivos y elementos de control y protección básicos y explique su significado.
3.3.1 Normalización
La normalización o estandarización es la redacción y aprobación de normas que se establecen para garantizar el
acoplamiento de elementos construidos independientemente, así como garantizar el repuesto en caso de ser necesario,
garantizar la calidad de los elementos fabricados, la seguridad de funcionamiento y el trabajo con responsabilidad
social.
Según ISO (International Organization for Standarization ) la normalización es la actividad que tiene por objeto
establecer, ante problemas reales o potenciales, disposiciones destinadas a usos comunes y repetidos, con el fin de
obtener un nivel de ordenamiento óptimo en un contexto dado, que puede ser tecnológico, político o económico. La
normalización persigue fundamentalmente tres objetivos:
• Simplificación: se trata de reducir los modelos para quedarse únicamente con los más necesarios.
• Unificación: para permitir el intercambio a nivel internacional.
• Especificación: se persigue evitar errores de identificación creando un lenguaje claro y preciso.

Conductor
Resistencia (símbolo general)
Conductor apantallado
Condensador (símbolo general)
Cable coaxial
Bobina (símbolo general)

Interruptor normalmente abierto Fusible

Interruptor normalmente cerrado


Pararrayos

Conmutador

Interruptor automático diferencial

Problema 2. Para la simulación de circuitos en la actualidad se utilizan un gran abanico de simuladores.


Explique brevemente las características de: The Logic Lab y KTechlab.
The Logic Lab: Sencillo simulador online de
circuitos digitales permite guardar los diseños
realizados. Cuando se guarda el diseño, el programa
proporciona una URL a la que se puede acceder
para ver de nuevo la simulación o continuar
modificando el circuito. Programado en Flash, ya
no está operativo.
KTechLab: Es una aplicación para GNU/Linux que
proporciona un potente entorno para el diseño de
circuitos eléctricos y de microcontroladores.
Incluye la simulación de distintos componentes
(lógicos, integrados, lineales, no-lineales y
reactivos), simulación y depuración de
microcontroladores PIC a través de gpsim, y posee
lenguajes de alto nivel complementarios: Cuadros
de flujo y Microbe.
Diseño de Circuitos Eléctricos Asistido por Ordenador- Septiembre 2013/2014 Tipo 1 [Página 3]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Septiembre 2013/2014 Tipo 2
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable Reserva Nacional/Unión Europea

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Los dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema para evaluar el resto de apartados.

1. En la analogía eléctrica-térmica a qué equivale en un sistema térmico un generador de tensión del sistema
eléctrico. =14F2-2
A) Fuente de calor
B) Flujo térmico
C) Diferencia de temperatura
D) Resistencia térmica

2. Dentro del marcado de resistencias siguiendo el método de marcado numérico, a qué corresponde esta
resistencia 835: =14F1-5
A) 8300 Q
B) 83000 Q
C) 8300 k O
D) 83000 k Q

3. En las resistencias de película metálica una de las características que poseen es:
A) Alto coeficiente de temperatura
B) Tolerancias altas
C) Baja estabilidad
D) Reducido nivel de ruido ← p479

4. Se entiende por fallo a la terminación de la capacidad de un dispositivo para realizar su función dentro de
unos ciertos límites definidos de actuación. Según en qué instante se produzcan se pueden clasificar
como: =14F2-4
A) Precoces, aleatorios y de desgastes
B) Previos, aleatorios, secuenciales y de tiempo de vida
C) Previos y de desgaste
D) Aleatorios y de desgaste

5. En la tasa instantánea de fallo se suele emplear el bit como unidad de tasa de fallo, lo cual equivale a:
A) Fallo por cada 106 horas
B) Fallo por cada 109 horas ← p756
12
C) Fallo por cada 10 horas
D) Fallo por cada 10 15 horas

Diseño de Circuitos Eléctricos Asistido por Ordenador- Septiembre 2013/2014 Tipo 2 [Página 1]
6. En la curva de mortalidad de un componente, también se la conoce como:
A) Curva de campana de Gauss invertida
B) Curva de fallo súbito de un componente
C) Curva de bañera ← p757
D) Curva de la concavidad de vida de un componente

7. ¿Qué significa este símbolo?

---{
A) Base con contacto para conductor de protección
B) Base de enchufe (telecomunicaciones)
C) Base de enchufe con interruptor unipolar
D) Toma de corriente múltiple ← p84

8. Diga cuál es la respuesta correcta en un conductor


A) A altas frecuencias aparece el efecto pelicular en los conductores disminuyendo la resistencia del
conductor.
B) La conductividad específica eléctrica es directamente proporcional a la resistividad e indica la facilidad
con la que el material permite el flujo de la corriente.
C) La densidad de corriente es el productor entre la intensidad de corriente que circula por un conductor
por su sección
D) Para altas frecuencias la corriente eléctrica se concentra en la corona exterior del conductor
reduciendo la sección de conducción por la que se produce el flujo eléctrico. ← p463

9. En un banco de pruebas para analizar un circuito digital. ¿Cuáles son los estímulos de entrada que
esquemáticamente se representan?
A) Reloj y Datos
B) Reloj, Datos y Reset ← p566
C) Reloj, Datos y Set
D) Datos y Reset

10. Para el diseño de sistemas lógicos digitales con VHDL, la generación de estímulos se puede hacer
mediante:
A) Mediante un fichero VHDL
B) Mediante el comando Stimulus/New lnteractive

C) Mediante el icono: 'ª


D) Tanto la respuesta A como B son correctas ← p618

Diseño de Circuitos Eléctricos Asistido por Ordenador- Septiembre 2013/2014 Tipo 2 [Página 2]
Problema 1. Complete la tabla siguiente con el símbolo o la descripción correspondiente

Símbolo Descripción

Conexión flexible
~
Potenciómetro con contacto móvil

Interruptor bipolar. (unifilar)

Pararrayos

Problema 2. Para el modelado de componentes analógicos con PSpice complete la siguiente tabla

Comando Definición p294-297


01 123 QN Indica que hay un transistor conectado a los nudos 1
(colector), 2 (base) y 3 (emisor), y que sigue el modelo
QN, por ejemplo: .MODEL QN NPN(IS=5E-15 BF=100
VJE=0.7 VA=120)
G112341m Fuente de corriente G1 de 1 mA/V, conectada a los
terminales 1 y 2, controlada por la tensión de los
terminales 3 y 4.
.OC LIN V1 2 5 0.5 Indica un análisis de barrido en continua del
generador V1, desde 2 hasta 5 V con incrementos
de 0.5 V.
.TF Es un tipo de análisis que calcula las funciones de transferencia
de pequeña señal, para ello linealiza el circuito entorno al punto
de trabajo de continua. Es útil, por ejemplo, para obtener la
ganancia de tensión y las resistencias de entrada y salida.
.SUBCKT
Indica que se va a describir un subcircuito.

.FOUR
Indica un análisis de Fourier.

.MC 4 TRAN V(4) YMAX Realiza un análisis de Monte Carlo haciendo 4


simulaciones e indicando la desviación máxima de la
tensión en el nodo 4 respecto de su valor nominal.

.SENS V(6) Analiza cómo influyen los cambios en los


valores de los componentes sobre la tensión
en el nodo 6.

Diseño de Circuitos Eléctricos Asistido por Ordenador- Septiembre 2013/2014 Tipo 2 [Página 3]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Febrero 2014/2015 Tipo A
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable 1° semana Nacional

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Las dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema para evaluar el resto de apartados.

1. En un análisis OC Sweep en PSpice, ¿cómo puede ser el Sweep Type?

A) Logarithmic Octave o Logarithmic Decade


B) Linear o Logarithmic ← p649
C) Linear o Exponential
D) Linear o Quadratic

2. Qué significa este símbolo.

A) Contacto de cierre retardado a la conexión y también a la desconexión de su dispositivo de mando.


B) Contacto de apertura retardado a la desconexión de su dispositivo de mando. Temporizador a la
desconexión. ← p94
C) Contacto de apertura retardado a la conexión de su dispositivo de mando. Temporizador a la
conexión.
D) Contacto de cierre retardado a la desconexión de su dispositivo de mando. Temporizador a la
desconexión.

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2014/2015 Tipo A [Página 1]
3. A qué simulador se corresponde esta imagen:

A) Circuitlab
B) Logic.ly
C) The Logic Lab
D) Logic Circuit Test ← p215

4. Dado este código, ¿qué valor tiene la inductancia mutua?

L 1 1 2 100mH
L2 2 3 50mH
K12L1 L2 0.7

A) 0.7
B) 0.013
C) 0.049 ← p299
D) 0.028

5. En una resistencia eléctrica, la carga de rotura es la carga que provoca como su nombre indica la rotura del
conductor. En qué unidades viene expresado.

A) kg
B) kg/m 2
C) kg/mm 2 ← p463
D) J

6. La analogía entre los circuitos eléctricos y los circuitos de flujo de calor nos permitirá definir una ley de Ohm
térmica cuya expresión es:

A) <l>th = Rth · l1T


B) <t>th = Rth · Cth

C) l1T = Rthf <t>th

D) !1T = Rth · <l>th ← p466


Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2014/2015 Tipo A [Página 2]
7. A que se corresponde R23 en el marcado alfanumérico de resistencias.

A) 23 n
B) 0.23 n ← p476
C) 2.3 n
D) 230 n

8. Para realizar un análisis de barrido en frecuencia, el formato con comandos PSpice será:

A) .TRAN <timpri> <tfin> <tini> <tpaso>


B) .AC <escala> <n_puntos> <freq_inicial> <freq_final> ← p295
C) .OC <tipo> <var> <vini> <vfin> <inc>
D) .TF <var- sal> <var- ent>

9. El encargado de implementar las funciones para el procesado de las señales analógicas en las FPAA es:

A) El parse tree
B) El conjunto de CABs ← p737
C) La red de interconexión IN/CABs/OUT
D) El registro de programación de la FPAA

1O. La tasa instantánea de fallo se defino como:

A) A.(t) = ..::..!:.... dR(t)


R(t) dt
← p756
B) A.(t) =-l_dR(t)
R(t) dt
C) A.(t) = R(t) dR(t)
dt
D) A.(t) = -R(t) dR(t)
dt

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2014/2015 Tipo A [Página 3]
Problema 1. A partir del código en VHDL presentado explique brevemente las distintas partes y qué tipo de
asignación se está realizando de cara al compilador. Dibuje el circuito que en él se describe.

FO:RT i;.a~. b~c.~. clk: 1~~ st.d_logic;


s: (}(JI st·d_ lc.gic);

FR·QC'.:ESS (clk)

ENT: ci re.u i t. a;

p359

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2014/2015 Tipo A [Página 4]
Problema 2. Complete el esquema siguiente que se utiliza en el diseño digital con VHDL para dispositivos
reconfigurables como una FPGA. Y explique brevemente las 7 fases del proceso.
1. Diseño (Design Entry): Se especifica el circuito por
medio de un editor de esquemas o de un lenguaje HDL.
Diseño
2. Síntesis (Synthesis): Todo el diseño se sintetiza en un
único circuito que consiste en la interconexión de LE
(Logic Elements) del tipo de los que dispone el
Síntesis dispositivo físico que se va a utilizar, por ejemplo, una
FPGA. El visor RTL es una representación del circuito
equivalente que se ha sintetizado y ayuda al diseñador a
analizar su funcionamiento y resolver las dudas que
Simulación funcional puedan surgir a la hora de conocer con exactitud cómo
el compilador interpreta el código VHDL.

3. Simulación funcional (Functional Simulation): Se


verifica el correcto funcionamiento del circuito
sintetizado sin tener en cuenta las cuestiones de tipo
temporal: tiempos de propagación, etc.

4. Adaptación o ajuste (Fitting): Se determina cada LE


Adaptación al hardware que se va a utilizar de la FPGA, es decir, se asigna un
LE de la FPGA para cada operación elemental resultado
de la síntesis y, además, se configura para realizar la
función requerida. Esto implica, también, elegir las rutas
Análisis temporal y simulación para interconectar correctamente los distintos elementos
lógicos.

5. Análisis temporal (Timing Analysis): Se analizan los


tiempos de setup y de hold , y los retardos de
propagación a lo largo de las interconexiones del
circuito ajustado para proporcionar información del
comportamiento que tendrá el circuito.

6. Simulación temporal (Timing Simulation): Con las


dos etapas anteriores se tiene un modelo, no solo de los
elementos lógicos y de cómo funcionan, sino también de
los retardos que hay entre ellos. Ahora, con la
simulación temporal, se testea el funcionamiento
funcional y temporal del circuito.

7. Programación y configuración (Programming and


Configuration): Con la información de configuración de
los LE y de las interconexiones se programan los
conmutadores que configuran los LE y las
interconexiones. Puede haber otros recursos que
configurar, como los puertos de entrada-salida, los
bloques de memoria, etc.

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2014/2015 Tipo A [Página 5]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Febrero 2014/2015 Tipo B
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable 2° semana Nacional y Original Europa

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Las dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema para evaluar el resto de apartados.

1. En qué tipo de análisis en PSpice no se puede realizar un estudio Monte Carlo/Worst Case

A) Bias Point ← p332


B) AC Sweep/Noise
C) OC Sweep
D) Time Domain

2. ¿Qué significa este símbolo?


~
PWM
UC3526A
7
6 ~ 1 CSENSE +VC
14

3 # 13
5
COMP
- ~RESET ourl ~ # 16
4 cxss
12
- ~SYNC
... 8
SHDVVN~
11
RXD
9
RXT
10
CXT
17
+VIN

1 15
2 ~ 1 ERROR GND
VREF
"
18

A) Comparador de tensiones
B) Conmutador electrónico analógico
C) Modulador de ancho de impulso ← p118 https://www.ti.com/product/UC3526A
D) Supervisor de tensiones

3. SPICE1 vio la luz en 1973 programado bajo Fortran y reescrito de su antecesor:

A) ISPICE
B) LTSpice
C) TINA
D) CANCER ← p210
Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2014/2015 Tipo B [Página 1]
4. Cómo se relaciona el coeficiente de transconductancia con la corriente de drenador a tensión puerta-fuente
nula y la tensión umbral en un transistor JFET en la zona de saturación:

A) BETA= Ivss
VT0 2
← p325
B) BETA= IYosl
Ivss

C) BETA= Ivss
IVTOI
D) BETA= Ivss
VTO

5. Qué tipo de conductor se emplea normalmente en los conductores de película delgada, los cuales son
propios de aplicaciones de microelectrónica.

A) Aluminio ← p464
B) Cobre
C) Plata
D) Grafito

6. En las etapas de un diseño digital de un circuito FPGA, la etapa Fitting consiste en:

A) Todo el diseño se sintetiza en un único circuito que consiste en la interconexión de LE del tipo de los
que dispone el dispositivo físico que se va a utilizar.
B) Se analiza los tiempos de setup y de hold, y los retardos de propagación a lo largo de las
interconexiones del circuito ajustado para proporcionar información del comportamiento que tendrá el
circuito.
C) Se determina cada LE que se va a utilizar de la FPGA, es decir, se asigna un LE de la FPGA para
cada operación elemental y además, se configura para realizar la función requerida. ← p579
D) Se verifica el correcto funcionamiento del circuito sintetizado sin tener en cuenta las cuestiones de tipo
temporal.

7. Indique cuál de la siguiente frase es correcta:

A) Los data objects son elementos a los que se les asigna un valor de un determinado tipo de datos. En
VHDL-AMS los objetos de datos QUANLITY y TERMINAL se añaden a los ya existentes en VHDL
(CONSTANT, SIGNAL y VARIABLE).
B) Los data objects son elementos a los que se les asigna un valor de un determinado tipo de datos. En
VHDL-AMS los objetos de datos QUANLITY y TERMINAL se añaden a los ya existentes en VHDL
(CONSTANT, SOURCE y VARIABLE).
C) Los data objects son elementos a los que se les asigna un valor de un determinado tipo de datos. En
VHDL-AMS los objetos de datos QUANTITY y TERMINAL se añaden a los ya existentes en VHDL
(CONSTANT, SOURCE y VARIABLE).
D) Los data objects son elementos a los que se les asigna un valor de un determinado tipo de datos. En
VHDL-AMS los objetos de datos QUANTITY y TERMINAL se añaden a los ya existentes en VHDL
(CONSTANT, SIGNAL y VARIABLE). ← p693

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2014/2015 Tipo B [Página 2]
8. Otra forma de expresar el "tiempo medio entre fallos" es:

A) TMEF
B) MTFE
C) MTBF ← p756
D) TMFB

9. Después de la etapa de compilación - expansión qué etapa se realiza en CAEE:

A) Fabricación
B) Diseño del circuito impreso
C) Simulación ← p39
D) Testabilidad

1O. Qué significa este símbolo:

A) Tiratrón ← p163
B) Triado https://www.simbologia-electronica.com/simbolos-electricos-electronicos/simbolos-valvulas-electronicas.htm
C) Pentodo
D) lgnitrón

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2014/2015 Tipo B [Página 3]
Problema 1. Complete la siguiente tabla correspondiente a los conceptos que implica el modelado y la
realización de una máquina de estados.

Nivel de abstracción Tipo Realización p392


Modelo Moore
Grafos de estados y tablas
Mealy de estados o fases
Descripción Estructural Esquema eléctrico
Lista de componentes y
sus interconexiones(net/ist)
en lenQuaje VHDL
Netlist con comandos SPICE
Funcional Descripción del
comportamiento mediante
el lenQuaje VHDL
Descripción del comportamiento
mediante expresiones lógicas de
PSpice A/D
Implementación física Sistema cableado fijo Circuito impreso con
Sistema cableado integrados MSI y LSI
microprogramado
Microcontrolador
Programado
Configurable
PLD o FPGA

Problema 2. La tolerancia es el margen de error admisible, determinado de forma cuantitativa mediante la


diferencia aritmética entre los valores máximos y mínimos admisibles de las magnitudes dimensionales o
físicas. Defina brevemente los conceptos: valores nominales, valores máximos, valores mínimos, valores
efectivos, desviación superior y desviación inferior. Y realice una representación gráfica con todos los
conceptos definidos entorno a la tolerancia.
15.13 Definiciones básicas
Valores nominales son los valores teóricos o de referencia
de magnitudes físicas o dimensiónales. Por ejemplo, la
resistencia de un transistor. Se utilizará para representarlo el
símbolo Yn.
Valores máximos son los mayores posibles de entre todos
los valores posibles que pueda tomar una magnitud. Se
representan por Ymax.
Valores mínimos son los menores posibles de entre todos
los valores posibles que pueda tomar una magnitud. Se
representan por Ymin.
Valores efectivos que son los que se puedan considerar
como reales, comprendidos entre los máximos y los
mínimos. Su símbolo es Ye.
Desviación o diferencia superior de una magnitud: Es la
diferencia aritmética entre el valor máximo admisible y el Nota: Ymin y di deberían ser negativos.
nominal: |ds| = |Ymax - Yn| (15.59)
Desviación o diferencia inferior de una magnitud: Es la
diferencia aritmética entre el valor mínimo admisible y el
nominal: |di| = |Ymin - Yn| (15.60)

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2014/2015 Tipo B [Página 4]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Septiembre 2014/2015 Tipo 1
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable Original Nacional/Unión Europea

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Los dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema para evaluar el resto de apartados.

1. Qué significa este símbolo:

A.

A) Diodo túnel
B) Diodo de recuperación instantánea, Snap ← p157
C) Diodo Schottky https://www.simbologia-electronica.com/simbolos-electricos-electronicos/simbolos-diodos.htm
D) Diodo varicap

2. Para que el comando .MC sea tenido en cuenta en el modelado de la tolerancia de los dispositivos, al
menos uno de los dispositivos debe tener una tolerancia que se debe especificar en el modelo. ¿Qué dos
formas hay de expresar la tolerancia?
A) MAX y MIN
B) FIRST y LAST
C) RANGE y OUTPUT
D) DEVy LOT ← p333

3. ¿Qué significa el parámetro TOX en el modelo del transistor MOSFET en PSpice?


A) Espesor de la capa de óxido de puerta ← p329
B) Temperatura máxima de funcionamiento
C) Capacidad de solapamiento entre puerta y sustrato por metro de ancho de canal
D) Temperatura umbral

4. Para modelar un circuito digital directamente con PSpice A/D que tres aspectos fundamentales se debe
especificar:
A) Descripción de los valores de tolerancia de los componentes; descripción de las entradas, salidas y de
las alimentaciones; descripción de las restricciones de funcionamiento
B) Descripción de las entradas, salidas y de las alimentaciones; descripción de las restricciones de
funcionamiento; descripción de las restricciones temporales
C) Descripción del modelo del comportamiento del circuito; descripción de las entradas, salidas y de las
alimentaciones; descripción de las restricciones temporales ← p354
D) Descripción del modelo del comportamiento del circuito; descripción de las entradas, salidas y de las
alimentaciones; descripción de las restricciones de funcionamiento
5. ¿Qué significa este símbolo?

A) Antena
B) Timbre, campana
C) Bocina ← p112
D) Zumbador

6. Cuando se aumenta la frecuencia de funcionamiento qué le sucede al factor de calidad de una bobina:
A) Aumenta de forma exponencial
B) Disminuye de forma exponencial decreciente
C) No le afecta la frecuencia
D) Al aumentar la frecuencia aumenta el valor de la resistencia de la bobina aumentando drásticamente
las pérdidas en el núcleo. Se utilizan curvas factor calidad - frecuencia para representar la relación
factor de calidad con la frecuencia ← p506

7. El siguiente código que componente está describiendo:

.SUBCKT Comp 1 2 PARAMS:


+ tClose = O
+ ttran = 1 u
+ Rclosed =0.02
+ Ropen = 1Meg

A) Descripción de un interruptor ← OJO comprobar


B) Descripción interna de un generador real
C) Descripción del estado transitorio de una bobina
D) Descripción interna de un diodo

8. Cuál es el propósito del análisis en pequeña señal y el formato de la instrucción en PSpice:


A) Estudiar el efecto de los valores de los componentes sobre una variable de salida
.SENS <var>
B) Determinar la dependencia de una variable de salida respecto una tensión de entrada .
.TF <var- sal> <var- ent> ← p645
C) Permite obtener curvas en las que la variable del eje x es un parámetro del circuito .
.TF <var- sal><var- ent>
D) Describe el comportamiento de una variable de salida a los cambios en frecuencia de funcionamiento
del circuito .
.TF <var- sal> <var- ent>

9. En el proceso de implementación con VHDL en un dispositivo reconfigurable, la etapa de Adaptación o


ajuste consiste en:
A) Todo el diseño se sintetiza en un único circuito que consiste en la interconexión de Logic Elements del
tipo de los que dispone el dispositivo físico que se va a utilizar
B) Se verifica el correcto funcionamiento del circuito sintetizado
C) Se determina cada Logic Elements que se va a utilizar de la FPGA. Esto implica, elegir las rutas para
interconectar correctamente los distintos elementos lógicos ← p579
D) Se analizan los tiempos de setup y de hold y los retardos de propagación a lo largo de las
interconexiones del circuito

1O. Se entiende por fallo a la terminación de la capacidad de un dispositivo para realizar su función dentro de
unos ciertos límites definidos de actuación. Según en qué instante se produzcan se pueden clasificar
como:
=14F2-4
A) Precoces, aleatorios y de desgastes
B) Previos, aleatorios, secuenciales y de tiempo de vida
C) Previos y de desgaste
D) Aleatorios y de desgaste
Problema 1. Diseñe en VHDL, un comparador de magnitud de 4 bits (véase figura) usando una descripción
comportamental algorítmica. Para ello complete el código que se adjunta.

b z

1: -- Comparador de Magnitud de 4 bits


2: library IEEE;
3: use IEEE.STD_LOGIC_1164.ALL;
4: use IEEE.STD_LOGIC_ARITH.ALL;
5: use IEEE.STD_LOGIC_UNSIGNED.ALL;
6:
7:
8:
9:
10:
11:
12:
13:
14:
15:
16:
1 7:
18:
19:
20:
21:
22:
23:
24:
25:
2 6:

Problema 2. La siguiente tabla muestra varios de los más importantes parámetros para el modelado de
transistores MOSFET en OrCAD. Complete la tabla, ya sea el nombre del parámetro o la descripción del
mismo Entre [] su valor por defecto.
Parámetro Descripción
VTO
Tensión umbral. [0 V]
T ranscond uctancia [2E-5 A/V2]
KP
Coeficiente de modulación del ancho del canal [0 V-1]
LAMBDA
L Longitud del canal. [N] {DEFL m}
w Ancho de puerta. {DEFW m}
Factor de escala sobre el transistor unitario
M
IS
Corriente de saturación. [1E-16 A] {Bulk p-n saturation current 1E-14 A}
uo Movilidad superficial de los electrones. [600 cm2/(V•s)]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Septiembre 2014/2015 Tipo 2
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable Reserva Nacional/Unión Europea

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Los dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema para evaluar el resto de apartados.

1. ¿Qué significa este símbolo?

IT---
A) Accionador por un contador
B) Accionador por el flujo de un gas
C) Accionador por el flujo de un fluido ← p92
D) Accionador por humedad relativa

2. ¿Cuál es una de las principales aplicaciones de los varistores?


A) Compensadores de coeficiente de temperatura negativa
B) Retardo para accionamiento de relés
C) Protección para sobreimpulsos de corriente
D) Evitar sobretensiones en componentes ← p500

3. En el lenguaje VHDL-AMS que representa nature:


A) Representa el dominio para un objeto y define sus propiedades ← p691
B) Es básicamente la declaración de entradas y salidas de un módulo
C) Representa detalladamente el modelo, ya sea describiendo su estructura, mediante la interconexión
de componentes básicos, o su funcionamiento
D) Define los puntos de conexión o nodos del sistema

4. En el lenguaje VHDL, en cuanto al análisis de tiempos, que significa tea:


A) Clock - periodo del reloj
B) Clock to Output Time - retardo con que aparece la señal de salida desde el flanco activo de reloj ← p585
C) Clock to Output Time - tiempo que debe estar estable la señal del reloj
D) Clock to Output Time - retardo con que aparece la señal de salida después del flanco de bajada del
reloj

5. Qué tres tipos de miembros conforman la ISO


A) Coordinados, simples y con privilegios
B) Suscritos, con privilegios y coordinados
C) Simples, correspondientes y suscritos ← p72
D) Correspondientes, simples y coordinados
6. A qué se refiere esta definición: Programa que permite ver el resultado de la simulación y crear las
gráficas correspondientes. Lee los datos en el fichero de extensión .dat que genera el simulador como
resultado.
A) Multisim
B) Proteus
C) Schematic
D) Probe ← p239

7. En la actualidad que opción existe para el modelado de los componentes de potencia


A) Modelos Ocultos de Márkov
B) Modelos de comportamiento que utilizan variables de estado ← p290
C) Análisis de Componentes Principales
D) Árboles de segmentación

8. ¿Qué significa este símbolo?

2
s
UCOMP
7 X<4,55 V s
---1lfX
X>4,55 V Il
R
3
ex
8
4
vcc +2.53 v--1-
GND

A) Supervisor de tensiones ← p119


B) Multiplexor/Demultiplexor tripe analógico de dos direcciones
C) Conmutador electrónico analógico
D) Modulador de ancho de impulso

9. Cómo se relaciona el coeficiente de transconductancia con la corriente de drenador a tensión puerta-


fuente nula y la tensión umbral en un transistor JFET en la zona de saturación: =15F2-4
A) BETA= Ivss
VT0 2
B) BETA= IYosl
Ivss

C) BETA= Ivss
IVTOI
D) BETA= Ivss
VTO

10. A qué estímulo de entrada se corresponde la siguiente definición: Es un estímulo de un bit que se utiliza
como señal de sincronismo. Se puede configurar, entre otros parámetros, el tiempo a nivel alto y a nivel
bajo, el retardo y el valor inicial.
A) FileStim
B) DigClock ← p350
C) Stim
D) Startval
Problema 1. Implemente el siguiente circuito en VHDL para ello complete el código que se muestra a
continuación.

~J4.A

1: --Implementacion en VHDL
2: libray IEEE;
3: use IEEE.STD - LOGIC - 1164.all;
4:
5:
6:
7:
8:
9:
10:
11:
12:
13:
14:
15:

Problema 2. Los transistores bipolares (bipolar junction transistor, BJT) son semiconductores formados por 3
zonas, NPN o PNP, y de tres terminales, colector, base y emisor. Existen distintas técnicas de fabricación,
explique brevemente: los transistores de aleación; transistores por difusión planar; transistor de mesa y
transistor homotaxial.
9.4.4.1.2.2 Transistor de aleación
Suelen emplear germanio como material base. Están formados por calentamiento y fusión de gránulos de la impureza
seleccionada para formar el colector y el emisor sobre una plaquita de germanio ligeramente dopado que constituye la
base. • Coste reducido. • No son aptos para trabajar a altas frecuencias.

9.4.4.1.2.3 Transistor por difusión planar


También llamado por difusión planar, el término planar se debe a que todos los procesos tienen lugar sobre el mismo
plano del cristal. El cristal de silicio sobre el que se realizan las sucesivas operaciones puede ser de tipo N o de tipo P y
formará finalmente el colector del transistor. Sobre una de las caras del cristal se realiza una oxidación y se abre una
ventana mediante técnicas fotoquímicas, difundiendo en su interior el material (tipo P o tipo N) que formará la base. El
proceso se repite, esta vez sobre la capa de la base, para formar el emisor. El conjunto se recubre con una capa de óxido
de silicio sobre la que se abren ventanas para la conexión de los terminales exteriores. • Mediante esta técnica se pueden
obtener tanto transistores NPN como PNP. • Aptos para elevadas frecuencias.

9.4.4.1.2.6 Transistor mesa semiplanar


Se parte de un cristal de silicio, que formará el colector, sobre el que, por difusión, se deposita el material de tipo P que
constituirá la base del transistor. Por difusión planar se obtiene la capa que formará el emisor. • Sólo se fabrican
transistores NPN. • Frecuencias hasta 50 MHz y tensiones elevadas (1500 V).

9.4.4.1.2.5 Transistor homotaxial


También denominado de difusión única debido a su proceso de fabricación. Se parte de un cristal tipo P, que formará la
base del transistor, sobre el que por ambas caras se realiza la difusión de material donador formando el emisor y colector,
el proceso se realiza sin oxidación previa. • Sólo se fabrican de tipo NPN. • Robustos. • Soportan elevadas corrientes.
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Febrero 2015/2016 Tipo A
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable 1° semana Nacional

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Las dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema para evaluar el resto de apartados.

1. Qué significa este símbolo: =15SO-1

E) Diodo túnel
F) Diodo de recuperación instantánea, Snap
G) Diodo Schottky
H) Diodo varicap

2. El tiempo medio entre fallos del modelo lógico de fiabilidad en serie tiene la expresión siguiente:
1 1 1
E) -+-+···+-
A1 Az An
1
F) ← p767
G)
H) n
A

3. La redundancia de un sistema puede ser:


E) Redundancia activa y redundancia total
F) Redundancia activa y redundancia mayoritaria
G) Redundancia activa y redundancia de reserva ← p771
H) Redundancia activa y redundancia parcial

4. Cuáles son las declaraciones simultáneas que contempla VHDL-AMS: =14SO-9


E) lf, case y null
F) Declaración simultánea simple; if; case; null; y Declaración simultánea procedural
G) While, if y case
H) Declaración simultánea simple; while; if; case; y Declaración simultánea procedural

5. Para qué sirve el programa MENTOR


E) Este programa está orientado para equipos de potencia que se ejecutan en estaciones de
trabajo de gran potencia de cálculo ← p290
F) Programa que analiza las interferencias electromagnéticas creadas por líneas físicamente
próximas
G) Programa que analiza las posibilidades de fatiga térmica y efectos sobre los retardos en los
componentes
H) Programa para generar interrupciones por eventos predefinidos

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2015/2016 Tipo A [Página 1]
6. En VHDL, la simulación que muestra el comportamiento del circuito se puede obtener mediante
dos tipos de representación diferentes:
E) Wave y Trace
F) Pulse y List
G) Wave y Pulse
H) Cronogramas y Tablas de verdad ← p624

7. En un entorno de simulación con PSpice, ¿qué función realiza el programa Probe? =13F2-7
E) Realiza propiamente la simulación, como entrada de datos tiene el fichero de extensión .cir
F) Es el programa que permite ver el resultado de la simulación y crear las gráficas
correspondientes
G) Es el programa que permite colocar los elementos del circuito gráficamente y asignarle
valores a los componentes electrónicos.
H) Es el programa que se encarga de leer los datos del fichero con extensión .sch

8. En el símbolo esquemático de un transistor, la flecha indica: =13SR-10


E) El flujo de electrones en el emisor.
F) El sentido convencional de la corriente en el emisor.
G) El flujo de electrones en el colector.
H) El sentido convencional de la corriente en el colector.

9. En la simulación de familias lógicas con VHDL, la palabra reservado que se utiliza para la
simulación de sucesos es:
E) EVENTS
F) SESSION
G)ASSERT ← p630
H) LOGS

1O. En un diodo, qué significa VRRM:


E) Tensión inversa de pico en funcionamiento
F) Tensión inversa repetitiva de pico ← p520
G) Tensión inversa continua
H) Tensión inversa de pico no repetitiva

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2015/2016 Tipo A [Página 2]
Problema 1. Dado un circuito amplificador basado en BJT en emisor común (Figura 1). Su circuito
equivalente de pequeña señal para alta frecuencia es el mostrado en la figura 2. Calcular en el
modelo de pequeña señal la resistencia de salida y la transconductancia.

Datos del BJT: IS= 0.1 pA, hte = 120, h 0 e = 50 µS.fr = 100 MHz, Cjco = 25pF, Cjeo = 40pF
Datos del circuito: Ri = 600.íl., Re = 4700.íl., Vcc = 20V, VcEQ = lOV

vcc

_ _ _ _ _ ___,,:,i---------~
____ _1,......·

-:-!J

Figura 1.

~
¡-;<-· 1->
~
-~
fu} ~
-><;
-~
R'C

l
I'
§
11
-.-:·o
Figura 2.

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2015/2016 Tipo A [Página 3]
Problema 2. A partir del dibujo, escriba en VHDL las declaraciones concurrentes asignadas a las
señales de las funciones de salida mediante ecuaciones booleanas que describan el
comportamiento de cada una de las compuertas.

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2015/2016 Tipo A [Página 4]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Febrero 2015/2016 Tipo B
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable 2° semana Nacional y Original Europa

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Las dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema para evaluar el resto de apartados.

1. El coeficiente de autoinducción de una bobina (L) viene determinado por la siguiente relación:
E) L = n·S·µa·µ ·dondeµ = 4rr · 10- 7 NA- 2
¡2 ' o
F) L = n·S·µa·µ ·dondeµ = 3rr · 10- 6 NA- 2
¡2 ' o
G) L =
n 2 ·S·µ ·µ
° ; donde µ 0 = 4rr · 10-
7
NA -
2
← p504
1
n 2 ·S·µ ·µ 6 2
H) L =
1
° ;dondeµ 0 =3rr·10- NA-

2. Este símbolo que significa:

c:-;::J•
11
E) Dipolo doblado ← p165
F) Antena de ranura con alimentador rectangular
G) Antena de cuadro
H) Reflector con forma de queso

3. En las etapas de un diseño digital de un circuito FPGA, la etapa Fitting consiste en: =15F2-6
E) Todo el diseño se sintetiza en un único circuito que consiste en la interconexión de LE del tipo
de los que dispone el dispositivo físico que se va a utilizar.
F) Se analiza los tiempos de setup y de hold, y los retardos de propagación a lo largo de las
interconexiones del circuito ajustado para proporcionar información del comportamiento que
tendrá el circuito.
G) Se determina cada LE que se va a utilizar de la FPGA, es decir, se asigna un LE de la FPGA
para cada operación elemental y además, se configura para realizar la función requerida.
H) Se verifica el correcto funcionamiento del circuito sintetizado sin tener en cuenta las
cuestiones de tipo temporal.
=13SR-6
4. En los diodos de señal qué diferencia hay entre los de silicio frente a los de germanio
E) Los de silicio presentan menor caída de tensión para entrar en estado de conducción que los
de germanio
F) Los de germanio trabajan en rangos de temperatura más amplios
G) Los de silicio presentan mayor caída de tensión para entrar en estado de conducción que los
de germanio
H) Tienen igual funcionamiento tan sólo difieren en el coste de fabricación
Diseño de Circuitos Eléctricos Asistido por Ordenador - Febrero 2015/2016 Tipo B [Página 1]
5. Cuando en PSpice se generan ambigüedades o valores no esperados en la salida de los
componentes se denominan:
E) Abrupt
F) Unexpected
G) Warnings
H) Hazards ← p574

6. La descripción comportamental por flujo de datos se define:


E) Es la más cercana a un lenguaje convencional. Permite la paralelización de instrucciones por
tratarse de una descripción concurrente
F) Es más cercana a la realización física o estructural aunque sin llegar a serlo. Permite la
paralelización de instrucciones por tratarse de una descripción concurrente ← p615
G) Es más cercana a la realización física o estructural aunque sin llegar a serlo. Describe
componentes y asigna señales constituyendo una lista de componentes e interconexiones.
H) Es la más cercana a un lenguaje convencional. Describe componentes y asigna señales
constituyendo una lista de componentes e interconexiones.

7. Para la simulación en electrónica se dispone entre otros en versión libre: =13F1-6


E) Circuitlogix y gEDA
F) The Logic Lab y KTechlab
G) Oregano y AutoTRAX EDA and DEX
H) Altium Designer y CADSTAR

8. ¿Qué tres objetivos persigue la normalización en ISO? =13F1-3


E) Simplificación, Unificación y Especificación
F) Establecimiento, Distribución e Internacionalización
G) Establecimiento, Distribución y Ordenamiento
H) Establecimiento, Unificación y Distribución

9. En el análisis de barrido en frecuencia, ¿cuál de la siguiente afirmación respecto a los comandos


PSpice es correcta?
E) En el análisis de alterna, para los componentes tantos lineales como no lineales calcula en
primer lugar el punto de trabajo del circuito y considera pequeñas variaciones en torno a él.
F) En el tipo de barrido lineal se hace con los <n_puntos> repartidos mediante una distribución
normal entre los límites de frecuencias
G) .AC <escala> <n_puntos> <freq_inicial> <freq_final>; donde a la hora de calcular los puntos
de frecuencia el <tipo> de barrido puede ser lineal (LIN) o logarítmico en décadas (DEC)
H) .AC <escala> <n_puntos> <freq_inicial> <freq_final>; donde a la hora de calcular los puntos
de frecuencia el <tipo> de barrido puede ser lineal (LIN) o logarítmico en décadas (DEC) o
también logarítmico en octavas (OCT) ← p659 ???

1O. ¿Qué temas de simulación en detalle se trata en la herramienta multimedia del CAEE? =13F1-1
E) Simulación Básica de Elementos de Física; Simulación Básica de Circuitos y Componentes;
Simulación de Electrónica Analógica; y Simulación de Electrónica Digital
F) Sólo trata en detalle los temas: Simulación de Electrónica Analógica y Simulación de
Electrónica Digital
G) Simulación con filtrado activo/pasivo; Análisis de Monte Cario; Simulación de Electrónica
Analógica; y Simulación de Electrónica Digital
H) Representación de circuitos en 3D; Análisis de líneas de transmisión con pérdidas; Simulación
de Electrónica Analógica; y Simulación de Electrónica Digital

Diseño de Circuitos Eléctricos Asistido por Ordenador - Febrero 2015/2016 Tipo B [Página 2]
Problema 1. Se desea comprobar el punto de polarización del JFET BF245B (Figura 1). Escriba el
Netlist asociado.

Datos del JFET: IS= 5 nA; VTO = -3.6V; LAMBDA = 2.631·10- 3 v- 1 ; BETA= 0.73 · 10- 3 A·
v- ; CGD = 3.45 pF; ces= 2.9 pF
2

Datos del circuito: Vcc = lOV; Re = 1 Mfl; R5 = 240fl; Rv = lkfl

.. ,.. !)

Figura 1.

Netlist
V1 4 0 DC 10V
RG 1 0 1Meg
RD 4 2 1k
RS 3 0 240
J1 2 1 3 BF254B

Diseño de Circuitos Eléctricos Asistido por Ordenador - Febrero 2015/2016 Tipo B [Página 3]
Problema 2. Diseñe un circuito combinacional que detecte número primos de 4 bits. Realice la tabla
de verdad y elabore un programa en VHDL que describa su función. Utilice instrucciones del tipo
with-select-when.

Diseño de Circuitos Eléctricos Asistido por Ordenador - Febrero 2015/2016 Tipo B [Página 4]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Septiembre 2015/2016 Tipo A
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en el
caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si deberá
entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se proporciona
sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Los dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema para evaluar el resto de apartados.

1. ¿Cómo se llama la carpeta dónde se añaden los ficheros en un proyecto OrCAD? =13SR-3
A) Input Design
B) In Design
C) Input Source
D) Source Folder

2. Se entiende por fallo a la terminación de la capacidad de un dispositivo para realizar su función dentro de
unos ciertos límites definidos de actuación. Según en qué instante se produzcan se pueden clasificar como:
A) Precoces, aleatorios y de desgastes =14F2-4
B) Previos, aleatorios, secuenciales y de tiempo de vida
C) Previos y de desgaste
D) Aleatorios y de desgaste

3. En el modelado de máquinas de estado, existen dos tipos más utilizados de máquinas de estado: =13F2-1
A) Tipo Moore, en las que las salidas sólo dependen de las entradas y la tipo Mealy en las que las salidas
dependen del estado interno.
B) Tipo Moore, en las que las salidas dependen de las entradas y del estado interno y la tipo Mealy en la
que la salida depende del estado interno
C) Tipo Moore, en las que las salidas sólo dependen del estado interno y la tipo Mealy, en las que la salida
depende del estado interno y del entradas
D) Tipo Moore, en las que las salidas sólo dependen del estado interno y la tipo Mealy, en las que la salida
depende de las entradas

4. Cómo se llama a la asignación de un símbolo a cada componente en la descripción estructural en VHDL.


A) Correspondencia
B) Transferencia
C) Replicación ← p616
D) Equivalencia

5. En qué tipo de análisis en PSpice no se puede realizar un estudio Monte Carlo/Worst Case =15F2-1
A) Bias Point
B) AC Sweep/Noise
C) OC Sweep
D) Time Domain
6. Para la generación de estímulos, OrCAD permite dos procedimientos:
A) Mediante la descripción de los estímulos en un fichero VHDL que se añade como un nuevo proyecto; o
mediante una herramienta programable que genera estímulos
B) Mediante la descripción de los estímulos en un fichero VHDL que se añade al proyecto; o mediante una
herramienta interactiva de generación de estímulos propia del simulador ← p618
C) Mediante la descripción de los estímulos en un fichero ejecutable que se añade al proyecto; o mediante
una herramienta variable de generación de estímulos propia del simulador
D) Mediante la descripción de los estímulos en un fichero VHDL que se añade al proyecto; o mediante una
herramienta variable de generación de estímulos propia del simulador

7. A partir de una FPGA modelo EP1 C3T1 OOC6 de la familia Cyclone, la cual posee 24 columnas y 13 filas de
LAB, y cada LAB tiene 10 LE. ¿Cuántos elementos lógicos se tiene en total? =14F1-7
A) 312
B) 3120
C) 130
D) 240

8. ¿Qué materiales presentan mayor conductividad?


A) Zinc y mercurio
B) Plata, cobre, oro y aluminio ← p461
C) Niquel, Hierro y Cobalto
D) Cobre y hierro

9. Qué significa RTL en el entorno de las descripciones en VHDL


A) Transferencia baja entre registros
B) Transferencia entre registros lógica ← ? ??
C) Transferencia entre registros larga
D) Transferencia entre registros

1O. ¿Qué significa este símbolo?

A) Arrancador - regulador por tiristores. Convertidores de frecuencia. Variadores de velocidad (unifilar) ← p107
B) Arrancador por autotranformador (unifilar)
C) Arrancador estrella - triángulo (unifilar)
D) Arrancador directo para cambiar el sentido de giro del motor (unifilar)
Problema 1. Se desea diseñar un semisumador en VHDL mediante una descripción estructural. Complete el
código que falta en los recuadros.

'.' ...
t~ll i~~?::l~l.l :E~~_.Iff~~~·G:C~T.·

l.n. l}t t

'•' ...
i~~?::~n.1 ·~:.~111:.~~~{1:.c~·.r·

componente_xor
( ap,bp : IN bit; Sp : OUT bit);
componente_xor
componente_and
( aq, bq : IN bit; C_outq : OUT bit);
componente_and

componente_xor PORT MAP (ap=>a, bp=>b, Sp=>s);


componente_and PORT MAP (aq=>a, bq=>b, C_outq=>C_out);
Problema 2. Explique brevemente los termistores NTC, las Resistencias PTC y los Varistores. Y las
principales aplicaciones de cada uno de ellos.

9.3.5.1 Termistores NTC


Los termistores NTC (Negative Temperatura Coefficient) o resistencias NTC, uno de los tipos de resistencias no lineales,
son componentes semiconductores que se comportan como resistencias con coeficiente de temperatura negativo. Se
fabrican a partir de una mezcla de óxidos de metales (hierro, niquel, titanio, cobalto, manganeso). Existen en distintas
formas comerciales para las distintas aplicaciones (disco, varilla o glóbulo).
Principales aplicaciones:
• Compensación térmica.
• Controlar la temperatura de recintos (sensores de temperatura).
• Controlar niveles de liquidos y velocidades de fluido.
• Limitadores de picos de corriente.
9.3.5.1.1 Característica resistencia-temperatura
Es la característica principal de los termistores. Determina la
relación entre la resistencia (R) y la temperatura (T) para el
termistor (Figura 9.17). R(T)=R0·eB(1/T-1/T0) (9. 10) siendo:
R la resistencia en ohmios a la temperatura ambiente T en kelvin;
R0 la resistencia a la temperatura en kelvin T0; B la constante del
termistor.
9.3.5.1.2 Coeficiente de temperatura
Indica la variación del valor óhmico por cada grado centigrado de
temperatura aumentado. Su valor suele encontrarse entre el -2% y
el -6%. α = (1/R)(dR/dT) = -B/T2 (9. 11)
9.3.5.2 Resistencias PTC
Los termistores PTC (Positive Temperatura Coefficient) o resistencias PTC, otro tipo de resistencias no lineales, son
componentes semiconductores que se comportan como resistencias con coeficiente de temperatura positivo, por lo que a
medida que aumenta la temperatura, aumenta el valor óhmico de la resistencia. Están fabricadas básicamente con titanato
de bario (BaTiO3) sinterizado con óxidos y sales metálicas. Existen distintos tipos de encapsulados.
Principales aplicaciones:
• Sensores térmicos
• Retardo para accionamiento de relés.
• Protección para sobreimpulsos de corriente.
• Sensores de nivel para fluidos.
• Compensadores de coeficiente de temperatura negativos.
9.3.5.2.1 Característica resistencia-temperatura
Los fabricantes proporcionan la característica resistencia-temperatura en sus hojas de datos (Figura 9.20).
El valor óhmico de la resistencia desciende ligeramente hasta que se alcanza el valor mínimo de la
resistencia y el coeficiente de temperatura se convierte en positivo. Por encima de la temperatura de Curie
(por encima de la temperatura de Curie, o punto de Curie, los cuerpos ferromagnéticos pierden sus
propiedades magnéticas) el valor óhmico de la resistencia crece exponencialmente. A medida que sigue
creciendo la temperatura, el valor de la resistencia alcanza un máximo y el coeficiente de temperatura se
hace negativo, en esta zona la resistencia PTC puede llegar a destruirse por lo que no se considera zona de
trabajo.
9.3.5.4 Varistores
Los varistores o resistencias VDR (Voltage Dependent Resistor), otro tipo de resistencias no lineales, son resistencias dependientes
de la tensión. Existen distintas tecnologías de varistores. Las resistencias VDR de carburo de silicio están construidas a base de una
mezcla de polvo de carburo de silicio y aglutinante para posterior prensado y sinterización. La aplicación de las VDR de carburo de
silicio se limita a tensiones entre 10 V y 25 kV. Para aplicaciones de menores tensiones, se han desarrollado varistores basados en
óxidos metálicos (óxido de titanio). Otro tipo de varistor basado en óxido metálico es el basado en óxido de cinc para aplicaciones en
las que se disipa potencia intermitente. Principales aplicaciones:
• Evitar sobretensiones en componentes. • Estabilizadores de tensión.
9.3.5.4.1 Característica tensión-corriente
La relación entre la corriente y la tensión en una resistencia VDR puede expresarse de manera aproximada mediante la relación:
V = C·Iβ (9.15) expresado de otra forma: logV = logC +β·logI (9.16) siendo V la tensión aplicada, C la tensión en la que la corriente es igual a 1
A, β es la pendiente de la curva de la Figura 9.26 (tg ϕ = ΔV/ΔI) e I es la corriente que circula por el varistor. Esta expresión no es válida para
valores pequeños de tensión y corriente.
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Septiembre 2015/2016 Tipo B
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en el
caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si deberá
entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se proporciona
sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Los dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema para evaluar el resto de apartados.

1. En los diodos de señal qué diferencia hay entre los de silicio frente a los de germanio =13SR-6
A) Los de silicio presentan menor caída de tensión para entrar en estado de conducción que los de
germanio
B) Los de germanio trabajan en rangos de temperatura más amplios
C) Los de silicio presentan mayor caída de tensión para entrar en estado de conducción que los de
germanio
D) Tienen igual funcionamiento tan sólo difieren en el coste de fabricación

2. ¿Qué posibles valores puede tomar una señal digital?


A) Nivel lógico alto y nivel lógico bajo
B) Nivel lógico alto, nivel lógico bajo, alta impedancia, indeterminado, transición de nivel bajo a alto y
transición de nivel alto a bajo ← p348
C) Nivel lógico alto, nivel bajo, alta impedancia, transición de nivel bajo a alto y transición de nivel alto a
bajo
D) Nivel lógico alto, nivel lógico bajo y alta impedancia

3. En el diseño de un transformador cuando se pone a 1 el parámetro COUPLING en PSpice, ¿qué significa?


A) Que la inductancia mutua entre bobinas es igual a 1 =13F2-3
B) Que las dos bobinas están totalmente acopladas
C) Que el valor de las bobinas del transformador es igual a 1H
D) Que la bobina del primario es igual a 1H

4. En el símbolo esquemático de un transistor, la flecha indica: =13SR-10


A) El flujo de electrones en el emisor.
B) El sentido convencional de la corriente en el emisor.
C) El flujo de electrones en el colector.
D) El sentido convencional de la corriente en el colector.

5. ¿Qué componente se utiliza para el retardo para accionamiento de relés?


A) Varistores
B) Resistencias PTC ← p492
C) Fotorresistores
D) Termistores NTC
6. ¿Cuál es el máximo nominal del ángulo de rotación en un potenciómetro? ← C p486
A) Entre 160° y 200°, con una determinada tolerancia especificada en las hojas de referencia del dispositivo
B) Entre 80° y 170°, con una determinada tolerancia especificada en las hojas de referencia del dispositivo
C) Entre 240° y 300°, con una determinada tolerancia especificada en las hojas de referencia del dispositivo
D) Entre 260° y 320°, con una determinada tolerancia especificada en las hojas de referencia del dispositivo

7. Qué componente tiene como principales aplicaciones: la compensación térmica; controlar la temperatura
de recintos (sensores de temperatura); controlar niveles de líquidos y velocidades de fluido; y !imitadores
de picos de corriente.
A) Termistores NTC ← p488
B) Resistencias PTC
C) Fotorresistores
D) Varistores

8. ¿Qué significa este símbolo?

A) Mando de un relé de enclavamiento mecánico. Telerruptor


B) Mando de un relé polarizado
C) Mando de un relé de remanencia
D) Mando de un relé electrónico ← p97

9. Para la simulación de fallos en circuitos se han desarrollado diversos algoritmos, que significa el algoritmo
PPSFP:
A) Partition Pattern Single Fault Procedure
B) Parallel Pattern Single Fault Propagation ← p43
C) Parallel Propagation Single Fault Procedure
D) Parallel Procedure Single Fault Propagation

10. Los modelos lógicos de fiabilidad son: =14F2-5


A) subsistemas y componentes
B) modelo serie y paralelo
C) modelo serie, paralelo y mixto
D) modelo funcional y estructural
Problema 1. Complete el siguiente código utilizando declaraciones secuenciales en VHDL para diseñar un
Decodificador BCD/DEC, tal como se muestra en la figura.

library IEEE;
use IEEE.STD_LOGIC_n 64.al!;

architecture secuencial of dec bcd dec is


be~] in

end secuenciat
Problema 2. Defina y explique brevemente las Resistencias lineales. A continuación defina o explique las
siguientes características propias de ellas: 1) Tensión máxima de trabajo; 2) Tensión máxima nominal; 3) Índice
de ruido; 4) Coeficiente de tensión; 5) Resistencia crítica; y 6) Estabilidad
9.3.3 Resistencias lineales
La circulación de electrones en los materiales conductores se produce con mayor o menor facilidad según el tipo de
conductor. Este efecto se caracteriza mediante la resistividad específica del conductor.
Para un conductor de resistividad ρ, longitud l y sección S, la resistencia (R) del conductor queda definida por: R=ρ·l/S
(9. 6)
La ley de Ohm relaciona la caída de tensión producida entre los bornes (U) de un elemento resistente con la corriente
(I) que le recorre mediante una constante de proporcionalidad (R): U = R·I (9. 7)
El paso de electrones en un conductor conlleva una liberación de energía en forma de calor. Esta energía liberada por
unidad de tiempo (P) puede expresarse: P = R·I2 (9. 8)
9.3.3.1.3 Tensión máxima de trabajo
Es la tensión continua máxima que puede soportar la resistencia en régimen permanente, en aire inmóvil y a la
temperatura ambiente a fin de no superar la potencia de disipación máxima. Para una resistencia de valor óhmico R en
ohmios, con una potencia de disipación máxima de P en vatios, el valor de la tensión máxima de trabajo será:
UNmax=sqrt(PN·RN) (9. 9)
9.3.3.1.4 Tensión máxima nominal
Es la tensión máxima que puede soportar la resistencia sin provocar su ruptura dieléctrica en aire inmóvil y a
temperatura ambiente. La tensión máxima nominal depende de la tecnología de fabricación y de la longitud de la
resistencia (el valor óhmico es más grande cuanto mayor sea la longitud).
9.3.3.1.5 Índice de ruido
En las resistencias se genera una tensión de ruido provocada por el paso de la corriente eléctrica por el cuerpo
resistivo. El nivel de ruido depende de la intensidad que le atraviesa, el material resistivo empleado y las características
constructivas. Los fabricantes lo suelen indicar en μV/V (Figura 9.3).
9.3.3.1.6 Coeficiente de tensión
Indica la variación de la resistencia por cada voltio aplicado. Su valor es muy pequeño por lo que los fabricantes lo
indican en ppm (partes por millón). Por ejemplo, para una resistencia de 1.000 Ω con un coeficiente de tensión de 10
ppm a la que se le aplican 10 V sufrirá una variación de su valor óhmico de: 1.000 Ω ·(10/106)·10 V=0,1 Ω.
9.3.3.1.7 Resistencia crítica
Es el valor óhmico de la resistencia de una serie para la que el valor de la tensión máxima de trabajo y la tensión
máxima nominal son iguales.
9.3.3.1.10 Estabilidad
La estabilidad se define como la independencia del valor óhmico de la resistencia respecto a los factores que le afectan
(humedad, envejecimiento, temperatura, etc.). En la Figura 9.4 se muestra la estabilidad del valor óhmico de una
determinada resistencia frente a determinadas condiciones de humedad.
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Febrero 2016/2017 Tipo A
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Las dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema evaluar el resto de apartados.

1. Cómo se llama a la asignación de un símbolo a cada componente en la descripción estructural en


VHDL. =16SO-4
A) Correspondencia
B) Transferencia
C) Replicación
D) Equivalencia

2. Para la generación de estímulos, OrCAD permite dos procedimientos: =16SO-6


A) Mediante la descripción de los estímulos en un fichero VHDL que se añade como un nuevo
proyecto; o mediante una herramienta programable que genera estímulos
B) Mediante la descripción de los estímulos en un fichero VHDL que se añade al proyecto; o
mediante una herramienta interactiva de generación de estímulos propia del simulador
C) Mediante la descripción de los estímulos en un fichero ejecutable que se añade al proyecto; o
mediante una herramienta variable de generación de estímulos propia del simulador
D) Mediante la descripción de los estímulos en un fichero VHDL que se añade al proyecto; o
mediante una herramienta variable de generación de estímulos propia del simulador

3. ¿Qué significa este símbolo? =16SO-10

A) Arrancador - regulador por tiristores. Convertidores de frecuencia. Variadores de velocidad


(unifilar)
B) Arrancador por autotranformador (unifilar)
C) Arrancador estrella - triángulo (unifilar)
D) Arrancador directo para cambiar el sentido de giro del motor (unifilar)

4. ¿Qué componente se utiliza para el retardo para accionamiento de relés? =16SR-5


A) Varistores
B) Resistencias PTC
C) Fotorresistores
D) T erm istores NTC

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2016/2017 Tipo A [Página 1]
5. ¿Cuál es el máximo nominal del ángulo de rotación en un potenciómetro? =16SR-6
A) Entre 160° y 200°, con una determinada tolerancia especificada en las hojas de referencia del
dispositivo
B) Entre 80° y 170°, con una determinada tolerancia especificada en las hojas de referencia del
dispositivo
C) Entre 240° y 300°, con una determinada tolerancia especificada en las hojas de referencia del
dispositivo
D) Entre 260° y 320°, con una determinada tolerancia especificada en las hojas de referencia del
dispositivo

6. Dentro del programa Oregano:


A) El archivo netlist no se genera automáticamente
B) Permite añadir librerías y componentes. ← p259
C) Admite los sistema operativo: Linux, Solaris, FreeBSD, NetBSD, Cygwin, Macos y Windows
D) Permite únicamente simulaciones: OC y AC.

7. La ley de Ohm térmica tiene la siguiente expresión: =15F1-6


A) !::.T = Rth · <l>th
B) !::.T = Rth · Cth
C) !::.V = Rth · <l>th
D) !::.V = Rth · Cth

8. La ganancia (13) en los transistores bipolares: =14F2-10


A) Toma valores entre 1O y 1000 y se calcula dividiendo la corriente del colector por la de la base
B) Toma valores entre 1O y 100 y se calcula dividiendo la corriente del colector por la de la base
C) Toma valores entre 1O y 100 y se calcula dividiendo la corriente de colector por la del emisor
D) Toma valores entre 1O y 10000 y se calcula dividiendo la corriente del colector por la del
emisor

9. Qué significa este símbolo: =15F2-10

A) Tiratrón
B) Triodo
C) Pentodo
D) lgnitrón

1O. A qué estímulo de entrada se corresponde la siguiente definición: Es un estímulo de un bit que
se utiliza como señal de sincronismo. Se puede configurar, entre otros parámetros, el tiempo a nivel
alto y a nivel bajo, el retardo y el valor inicial. =15SR-10
A) FileStim
B) DigClock
C) Stim
D) Startval

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2016/2017 Tipo A [Página 2]
Problema 1. Realizar la descripción RTL de un circuito que desplace a derecha o izquierda un bus
de entrada de 4 bits. El circuito está controlado por una señal de dos bits de manera que cuando
esta señal es "00'' no desplaza. Si es "01" el desplazamiento es a izquierdas. Si es "1 O" el
desplazamiento es a derechas, y si es "11" se produce una rotación a derechas. En el caso de
desplazamientos se introduce un cero en el hueco que quede libre. Complete la siguiente
descripción con la estructura WHEN ... ELSE.
:->.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::.::
E}l!ITY ·de.spla IS
Fc';·RT{ ·desi-n: Il·l bit_~;-.ect:o:r(G ·-.r.a 3};
de.s.o·ut.: ·OlTT :b·it._,¿:ec.or(0 ·r·G 3~;
deactl: ID bit vector(0 TC l)};
E}.JD desp·l.a;

r: ·.
:r
:r·

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2016/2017 Tipo A [Página 3]
Problema 2. Identifique los siguientes símbolos:

Símbolo Descripción Símbolo Descripción


Termómetro. Corriente
Pirómetro. -·- continua

G Indicador de la
temperatura
p111

Contador de
p83

Pulsador con
energía de lámpara

Wh
exceso de
potencia activa
® indicadora
(unifilar).
p106 p88
P>

Mando de un Retorno
relé electrónico ---<l---- automático
p97 p90

-K

Interruptor. Generador no
(unifilar) rotativo
p87

G (símbolo
general)
p101

Calentador de Fasímetro.
,,. -- Indicador del
' agua
I
\
"" -- ~

"'
) p88

8 ángulo de
desfase
p111

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2016/2017 Tipo A [Página 4]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Febrero 2016/2017 Tipo B
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Las dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema para evaluar el resto de apartados.

1. Qué significa RTL en el entorno de las descripciones en VHDL =16SO-9


A) Transferencia baja entre registros
B) Transferencia entre registros lógica
C) Transferencia entre registros larga
D) Transferencia entre registros

2. Qué componente tiene como principales aplicaciones: la compensación térmica; controlar la


temperatura de recintos (sensores de temperatura); controlar niveles de líquidos y velocidades de
fluido; y !imitadores de picos de corriente. =16SR-7
A) Termistores NTC
B) Resistencias PTC
C) Fotorresistores
D) Varistores

3. ¿Qué significa este símbolo? =16SR-8

A) Mando de un relé de enclavamiento mecánico. Telerruptor


B) Mando de un relé polarizado
C) Mando de un relé de remanencia
D) Mando de un relé electrónico

4. Complete la siguiente frase: Las resistencias lineales cumplen la ley de Ohm ... :
A) hasta ciertos valores de frecuencia (1 OOkHz). Para frecuencias superiores la capacitancia de
las conexiones comienza a mostrar sus efectos
B) hasta ciertos valores de frecuencia (1 OOOkHz). Para frecuencias superiores la capacitancia de
las conexiones comienza a mostrar sus efectos
C) hasta ciertos valores de frecuencia (1 OOkHz). Para frecuencias superiores la capacitancia de
las conexiones y la inductancia de la superficie resistiva comienzan a mostrar sus efectos ← p474
D) hasta ciertos valores de frecuencia (1 OOOkHz). Para frecuencias superiores la capacitancia de
las conexiones y la inductancia de la superficie resistiva comienzan a mostrar sus efectos

Diseño de Circuitos Eléctricos Asistido por Ordenador - Febrero 2016/2017 Tipo B [Página 1]
5. La tensión máxima que puede soportar el diodo en estado de bloqueo para una temperatura
ambiental de 25ºC es:
A) Tensión inversa de pico en funcionamiento
B) Tensión inversa continua ← p520
C) Tensión inversa repetitiva de pico
D) Tensión inversa de pico no repetitiva

6. ¿Cuál es la afirmación correcta?: Los HDL, al ser lenguajes de alto nivel:


A) Son de fácil interpretación para las personas y para los equipos informáticos, por ellos se
utilizan tanto en los procesos específicos de diseño como en los intercambios de modelos,
documentación y mantenimiento de los diseños entre distintos equipos de trabajo. ← p605
B) Son de fácil interpretación para las personas y se pueden utilizar únicamente en los procesos
específicos de diseño, siendo estos: modelado, simulación, síntesis y verificación.
C) Son de fácil interpretación para las personas y para los equipos informáticos, por ellos se
utilizan únicamente en los procesos específicos de diseño y en los intercambios de modelos.
D) Son de fácil interpretación para las personas, por ellos se utilizan únicamente en los procesos
específicos de diseño y en los intercambios de modelos.

7. Para la simulación de fallos en circuitos se han desarrollado diversos algoritmos, que significa el
algoritmo PPSFP: =16SR-9
A) Partition Pattern Single Fault Procedure
B) Parallel Pattern Single Fault Propagation
C) Parallel Propagation Single Fault Procedure
D) Parallel Procedure Single Fault Propagation
=15F2-7
8. Indique cuál de la siguiente frase es correcta:
A) Los data objects son elementos a los que se les asigna un valor de un determinado tipo de
datos. En VHDL-AMS los objetos de datos QUANLITY y TERMINAL se añaden a los ya
existentes en VHDL (CONSTANT, SIGNAL y VARIABLE).
B) Los data objects son elementos a los que se les asigna un valor de un determinado tipo de
datos. En VHDL-AMS los objetos de datos QUANLITY y TERMINAL se añaden a los ya
existentes en VHDL (CONSTANT, SOURCE y VARIABLE).
C) Los data objects son elementos a los que se les asigna un valor de un determinado tipo de
datos. En VHDL-AMS los objetos de datos QUANTITY y TERMINAL se añaden a los ya
existentes en VHDL (CONSTANT, SOURCE y VARIABLE).
D) Los data objects son elementos a los que se les asigna un valor de un determinado tipo de
datos. En VHDL-AMS los objetos de datos QUANTITY y TERMINAL se añaden a los ya
existentes en VHDL (CONSTANT, SIGNAL y VARIABLE).

9. Cómo se relaciona el coeficiente de transconductancia con la corriente de drenador a tensión


puerta-fuente nula y la tensión umbral en un transistor JFET en la zona de saturación: =15F2-4
A) BETA= Ivss
VT0 2

B) BETA= IYosl
Ivss
C) BETA= Ivss
IVTOI

O) BETA= Ivss
VTO

Diseño de Circuitos Eléctricos Asistido por Ordenador - Febrero 2016/2017 Tipo B [Página 2]
1O. En el entorno OrCAO cuando procedemos a una simulación con PSpice (PSpice>New
Simulation Profile), ¿qué tipos de análisis (Analysis type) se pueden seleccionar?
A) Worst case, AC Sweep, Monte Cario, Bias Point
B) OC Sweep, AC Sweep, Worst case
C) OC Sweep, AC Sweep, Time Oomain
O) OC Sweep, AC Sweep, Time Oomain, Bias Point ←

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2016/2017 Tipo B [Página 3]
Problema 1. En la figura se muestra un circuito lógico formado por los siguientes subsistemas:
teclado, codificador, registro, decodificador de siete segmentos activo en bajo y un display de siete
segmentos. La finalidad de este sistema electrónico es observar en el display el número decimal
equivalente al de la tecla presionada. El funcionamiento es el siguiente: el usuario presiona la tecla
decimal correspondiente al número que se desea que aparezca en el display. El codificador
convierte en código BCD el equivalente al número decimal presionado. El valor BCD se transfiere vía
el registro hacia el decodificador de siete segmentos para observar en el display el valor del número
decimal seleccionado. Complete el siguiente código:
PLD

GKL

·de reloj
tecla: in 3~d
-
logic- vector - 'b·ct.cn del teclado
std_lDQic_1.rectoJ:: (3 (::) ;
del re~'Jist:ro
~rrt.:r-ada

C} ,: salida del regist~c


O).: ent:rada del deco·dif.icadG::r
;:o ·6} } ; - .salidas del -dee:0dificad0r
d:i.spla.y~;

Diseño de Circuitos Eléctricos Asistido por Ordenador - Febrero 2016/2017 Tipo B [Página 4]
Problema 2. Identifique los siguientes símbolos:

Símbolo Descripción Símbolo Descripción


Motor de Contador de
inducción energía
trifásico con l..... intercambiada
rotor en jaula de p109
ardilla
M p103 Wh
3"'"'-'

Voltímetro
Rectificador de diferencial.
doble onda Indicador de la
(puente diferencia de
rectificador) tensión entre dos
p103 señales
p111

Sirena Bocina
p112 p112

Tiristor Ondámetro.
p113 Indicador de la
longitud de
onda
p111

Relé de Aparato
corriente registrador
diferencial
p108
D (símbolo
general)
p108

Diseño de Circuitos Eléctricos Asistido por Ordenador - Febrero 2016/2017 Tipo B [Página 5]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Septiembre 2016/2017 Tipo A
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Las dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema evaluar el resto de apartados.

1. Las resistencias aglomeradas de carbón no suelen construirse con tolerancias inferiores al:
A)5% ← p478
B) 10%
C) 15%
D) 20%

2. La aplicación "Model Agent" en el simulador SIMPLORER que función tiene:


A) Modelar los estímulos que se quieran introducir en el circuito
B) Muestra las librerías de packages y modelos reusables con los que cuenta este simulador ← p714
C) Muestra las diferentes opciones que se pueden introducir en la representación Wave del circuito
D) Se encarga de introducir las interacciones entre los distintos componentes del circuito

3. Respecto a los niveles lógicos de las señales digitales que representa la letra F:
A) Alta impedancia
B) Indeterminado
C) Transición de nivel bajo a alto
D) Transición de nivel alto a bajo ← p349

4. En un análisis OC Sweep en PSpice, ¿cómo puede ser el Sweep Type?


A) Logarithmic Octave o Logarithmic Decade
B) Linear o Logarithmic ← p183
C) Linear o Exponential
D) Linear o Quadratic

5. En un decodificador la señal LT (lamp test) se utiliza para:


A) Activar a la vez todas las salidas cuando todas las entradas están a nivel alto
B) Activar a la vez todas las salidas independientemente del valor de la entrada ← p368
C) Desactivar a la vez todas las salidas cuando todas las entradas están a nivel alto
D) Desactivar a la vez todas las salidas independientemente del valor de la entrada

6. Para qué sirve el programa MENTOR: =16F1-5


A) Este programa está orientado para equipos de potencia que se ejecutan en estaciones de trabajo
de gran potencia de cálculo
B) Programa que analiza las interferencias electromagnéticas creadas por líneas físicamente
próximas
C) Programa que analiza las posibilidades de fatiga térmica y efectos sobre los retardos en los
componentes
D) Programa para generar interrupciones por eventos predefinidos

Diseño de Circuitos Eléctricos Asistido por Ordenador - Septiembre 2016/2017 Tipo A [Página 1]
7. Respecto a los símbolos de vídeo y audio que significa el siguiente símbolo:

A) Cámara de TV b/n
B) Monitor de TV b/n
C) Cámara de TV color ← p167
D) Monitor de TV color https://www.simbologia-electronica.com/simbolos-electricos-electronicos/simbolos-video.htm

8. Para la generación de estímulos, OrCAD permite dos procedimientos: =16SO-6


A) Mediante la descripción de los estímulos en un fichero VHDL que se añade como un nuevo
proyecto; o mediante una herramienta programable que genera estímulos
B) Mediante la descripción de los estímulos en un fichero VHDL que se añade al proyecto; o
mediante una herramienta interactiva de generación de estímulos propia del simulador
C) Mediante la descripción de los estímulos en un fichero ejecutable que se añade al proyecto; o
mediante una herramienta variable de generación de estímulos propia del simulador
D) Mediante la descripción de los estímulos en un fichero VHDL que se añade al proyecto; o
mediante una herramienta variable de generación de estímulos propia del simulador

9. Dentro del marcado de diodos, en el código alfanumérico mediante codificación PRO ELECTRON,
la segunda letra indica:
A) La utilización del dispositivo ← p527
B) El material semiconductor empleado en la construcción del dispositivo
C) El tipo de codificación empleada, europea o americana
D) La codificación PRO ELECTRON no se utiliza en diodos

1O. En las etapas de un diseño digital de un circuito FPGA, la etapa Fitting consiste en: =15F2-6
A) Todo el diseño se sintetiza en un único circuito que consiste en la interconexión de LE del tipo de
los que dispone el dispositivo físico que se va a utilizar.
B) Se analiza los tiempos de setup y de hold, y los retardos de propagación a lo largo de las
interconexiones del circuito ajustado para proporcionar información del comportamiento que tendrá
el circuito.
C) Se determina cada LE que se va a utilizar de la FPGA, es decir, se asigna un LE de la FPGA para
cada operación elemental y además, se configura para realizar la función requerida.

Diseño de Circuitos Eléctricos Asistido por Ordenador - Septiembre 2016/2017 Tipo A [Página 2]
Problema 1. Se pide diseñar el bloque hex_to_sseg, decodificador de hexadecimal a 7 segmentos.
Complete el siguiente código VHDL siguiendo declaraciones secuenciales when-case .

.• · library IEEE ;

::. : library IEEE;

7:
2: entity b;e.:x_ t-;:;._ ss;:,•~! is
~;: port (

11: clp: in atcl h~gic;

l.5 !
16:
1.7:
13:
l.9 !

=:.5:
2.6;

23:
29:

32;

Diseño de Circuitos Eléctricos Asistido por Ordenador - Septiembre 2016/2017 Tipo A [Página 3]
Problema 2. Los tipos de análisis que más se utilizan en los programas de simulación son: el
análisis de transitorios, análisis en continua y análisis en alterna. Explique brevemente en qué
consisten.
=13SR-P1

Diseño de Circuitos Eléctricos Asistido por Ordenador - Septiembre 2016/2017 Tipo A [Página 4]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Septiembre 2016/2017 Tipo B
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Las dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema para evaluar el resto de apartados.

1. La corriente directa del diodo viene dada por la ecuación de Shockley la cual tiene la siguiente
expresión:
VD
A) Iv = IS· eN·Rs
VD
B) Iv = IS· eN-vr ← p306
VD
C) Iv =IS· eRs
VD
D) Iv =IS· evr

2. Qué se entiende por fiabilidad


A) La mejor medida cualitativa de la integridad de un componente o de un sistema
B) La mejor medida cuantitativa de la integridad de un componente o de un sistema ← p752
C) La mejor medida cualitativa de conformidad con las especificaciones de un componente o de un
sistema
D) La mejor medida cuantitativa de conformidad con las especificaciones de un componente o de un
sistema

3. ModelSim es:
A) Un sistema integrado para dispositivos electrónicos de alta velocidad basado en circuitos
impresos, que permite a los desarrolladores la creación de proyectos, desde el concepto y VHDL-
descripción de la FPGA, simular circuitos, obtener VHDL-Código, preparar archivos para la
producción, etc.
B) Un programa que permite realizar simulaciones mixtas que incluyen distintos lenguajes.
C) Un programa de la empresa Mentor Graphics Corporation para simulación y verificación de
circuitos digitales descritos mediante los lenguajes de alto nivel VHDL, Verilog y SystemVerilog
D) Tanto la respuesta B como C son correctas ← p360

4. ¿Cómo se llama la carpeta dónde se añaden los ficheros en un proyecto OrCAD? =13SR-3
A) Input Design
B) In Design
C) Input Source
D) Source Folder

5. La curva V-1 de una resistencia NTC presenta tres zonas. En el tramo tercero o último:
A) En este tramo se alcanza el valor máximo de tensión entrando en una zona de resistencia
negativa
B) En este tramo la resistencia es prácticamente la de la temperatura ambiente
C) En este tramo se entra en una zona de resistencia constante de valor inferior al del tramo primero
y de corrientes altas ← p491
Diseño de Circuitos Eléctricos Asistido por Ordenador - Septiembre 2016/2017 Tipo B [Página 1]
D) En este tramo las características dejan de ser lineales donde la resistencia alcanza su valor
máximo

6. El programa de simulación "Ohm Zone" que tipo de simulación permite así como si permite la
elaboración de PCBs
A) Analógica y si permite elaborar PCBs
B) Digital y si permite elaborar PCBs
C) Mixta y no permite elaborar PCBs
D) Analógica y no permite elaborar PCBs ← p216

7. Qué significa este símbolo:

A) Arrancador por autotransformador ← p107


B) Arrancador de motor por etapas
C) Arrancador regulador, variador de velocidad
D) Arrancador directo con contactares para cambiar el sentido de giro del motor

8. Para modelar un circuito digital con PSpice NO qué tres aspectos fundamentales se debe
especificar: =15SO-4
A) Descripción de las entradas, la descripción de la masa y la descripción de restricciones
temporales
B) Descripción del modelo del comportamiento del circuito; descripción de las entradas y salidas así
como las alimentaciones; y la descripción de las restricciones temporales
C) Descripción del modelo del comportamiento del circuito; descripción de las entradas y salidas; y la
descripción de las restricciones temporales
D) Descripción de las alimentaciones; descripción de la masa; y descripción de las entradas y
salidas

9. Dentro de los conductores eléctricos a qué pertenece esta descripción: Se basa en un conductor
central cubierto de material dieléctrico, pantalla o malla metálica y cubierta protectora.
A) Hilo
B) Cable coaxial ← p464
C) Barra
D) Conductores de película delgada

1O. Los módulos en las FPAA se denominan: =13SR-2


A) CABs
B) LABs
C)AABs
D) DABs

Diseño de Circuitos Eléctricos Asistido por Ordenador - Septiembre 2016/2017 Tipo B [Página 2]
Problema 1. A partir de este código en VHDL dibuja el circuito que representa.

i.. ~. ENTITY cc~~~p·::=nente IS


..::: :. PORT (~,:b: Il~ BIT,:

Problema 2. Rellene la tabla siguiente donde se comparan diferentes simuladores: =14F2-P1

Programa Esquemáticos Tipo de simulación Elaboración de PCBs


(Sí/No) (Diqital/Analóqica/Mixta) (Sí/No)
Circuitlab
Logic.ly
Ohm Zone
qEDA
Microp-cap
PSpice A/D
Multisim
Proteus

Diseño de Circuitos Eléctricos Asistido por Ordenador - Septiembre 2016/2017 Tipo B [Página 3]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Febrero 2017/2018 Tipo A
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Las dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema evaluar el resto de apartados.

1. Complete la siguiente frase: Las resistencias lineales cumplen la ley de Ohm ... : =17F2-4
A) hasta ciertos valores de frecuencia (1 OOkHz). Para frecuencias superiores la capacitancia de
las conexiones comienza a mostrar sus efectos
B) hasta ciertos valores de frecuencia (1 OOOkHz). Para frecuencias superiores la capacitancia de
las conexiones comienza a mostrar sus efectos
C) hasta ciertos valores de frecuencia (1 OOkHz). Para frecuencias superiores la capacitancia de
las conexiones y la inductancia de la superficie resistiva comienzan a mostrar sus efectos
D) hasta ciertos valores de frecuencia (1 OOOkHz). Para frecuencias superiores la capacitancia de
las conexiones y la inductancia de la superficie resistiva comienzan a mostrar sus efectos

2. La Organización ISO:
A) está formada por miembros correspondientes, uno por país, recayendo la representación en
el organismo nacional más representativo; y miembros suscritos, países con reducidas
economías a los que se les exige el pago de tasas menores a los correspondientes.
B) donde AENOR en España, organismo nacional de normalización, forma parte de la ISO ← p80
C) desarrolla normas que son de carácter obligatorio para todos los países que forman parte de
ella.
D) está formada únicamente por miembros simples, uno por país, recayendo la representación
en el organismo nacional más representativo.

3. En el análisis de barrido en frecuencia, ¿cuál de la siguiente afirmación respecto a los comandos


PSpice es correcta? =16F2-9
A) En el análisis de alterna, para los componentes tantos lineales como no lineales calcula en
primer lugar el punto de trabajo del circuito y considera pequeñas variaciones en torno a él.
B) En el tipo de barrido lineal se hace con los <n_puntos> repartidos mediante una distribución
normal entre los límites de frecuencias
C) .AC <escala> <n_puntos> <freq_inicial> <freq_final>; donde a la hora de calcular los puntos
de frecuencia el <tipo> de barrido puede ser lineal (LIN) o logarítmico en décadas (DEC)
D) .AC <escala> <n_puntos> <freq_inicial> <freq_final>; donde a la hora de calcular los puntos
de frecuencia el <tipo> de barrido puede ser lineal (LIN) o logarítmico en décadas (DEC) o
también logarítmico en octavas (OCT)

Diseño de Circuitos Eléctricos Asistido por Ordenador - Febrero 2017/2018 Tipo A [Página 1]
4. La ganancia (13) en los transistores bipolares: =14F2-10
A) Toma valores entre 1O y 1000 y se calcula dividiendo la corriente del colector por la de la base
B) Toma valores entre 1O y 100 y se calcula dividiendo la corriente del colector por la de la base
C) Toma valores entre 1O y 100 y se calcula dividiendo la corriente de colector por la del emisor
D) Toma valores entre 1O y 10000 y se calcula dividiendo la corriente del colector por la del
emisor

5. Qué significa este símbolo:

<!}--
A) Mando por efecto de proximidad ← p91
B) Mando por contacto
C) Mando de pulsador
D) Mando rotatorio

6. La curva V-1 de una resistencia NTC presenta tres zonas. En el tramo tercero o último: =17SR-5
A) En este tramo se alcanza el valor máximo de tensión entrando en una zona de resistencia
negativa
B) En este tramo la resistencia es prácticamente la de la temperatura ambiente
C) En este tramo se entra en una zona de resistencia constante de valor inferior al del tramo
primero y de corrientes altas
D) En este tramo las características dejan de ser lineales donde la resistencia alcanza su valor
máximo

7. Después de la etapa de compilación - expansión qué etapa se realiza en CAEE: =15F2-9


A) Fabricación
B) Diseño del circuito impreso
C) Simulación
D) Testabilidad

8. Qué tipo de conductor se emplea normalmente en los conductores de película delgada, los cuales
son propios de aplicaciones de microelectrónica. =15F2-5
A) Aluminio
B) Cobre
C) Plata
D) Grafito

9. Qué indica el siguiente comando en PSpice:

G112341m

A) Fuente de tensión G1, conectada a los terminales 1 y 2, controlada por la fuente de corriente
que pasa por los terminales 3 y 4, y cuya ganancia es 1mV/A
B) Fuente de tensión G1 de 1 mA/V, conectada a los terminales 1 y 2, y a los terminales 3 y 4.
C) Fuente de corriente G1 de 1 mA/V, conectada a los terminales 1 y 2, y a los terminales 3 y 4.
D) Fuente de corriente G1 de 1 mA/V, conectada a los terminales 1 y 2, controlada por la tensión
de los terminales 3 y 4. ← p294

Diseño de Circuitos Eléctricos Asistido por Ordenador - Febrero 2017/2018 Tipo A [Página 2]
1O. Según las hojas características de un transistor comercial NPN, su ganancia de corriente BF en
de a 1OmA varía entre 75 y 300, aplicando la media geométrica su ganancia será:
A) 106
B) 187,5
C) 150 ← p312
D) 112,5

Problema 1. Dado un registro tri-estado, véase la figura, los cuales manejan los valores: O, 1 y alta
impedancia 'Z'. Complete el siguiente código VHDL.

salida

library ieee;
use ieee.std_logic_ 1164.all;

entity -'- - - - - - - - - - - - - -

end

architecture

end

Diseño de Circuitos Eléctricos Asistido por Ordenador - Febrero 2017/2018 Tipo A [Página 3]
Problema 2. Defina brevemente los circuitos recortadores, fijadores y multiplicadores propios de los
diodos: =13SO-P2

Diseño de Circuitos Eléctricos Asistido por Ordenador - Febrero 2017/2018 Tipo A [Página 4]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Febrero 2017/2018 Tipo B
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Las dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema para evaluar el resto de apartados.

1. En VHDL, la simulación que muestra el comportamiento del circuito se puede obtener mediante
dos tipos de representación diferentes: =16F1-6
A) Wave y Trace
B) Pulse y List
C) Wave y Pulse
D) Cronogramas y Tablas de verdad

2. Un transistor bipolar se utiliza principalmente como un


A) Multiplicador
B) Regulador
C) Amplificador ← p442
D) Generador de ondas

3. Qué significa el siguiente símbolo


...... ""]
' ..
,.:..:..:

A) Cámara de TV color
B) Cabeza de escritura lectura y borrado
C) Cabeza de grabación
D) Cámara de TV b/n ← p167

4. Acerca de la simbología la norma a nivel internacional IEC 60617, se publicó en España como la
norma: =14F2-9
A) EN 60617
B) UNE-EN 60617
C) NEC 60617
D) IEEE 60617

5. La redundancia de un sistema puede ser: =16F1-3


A) Redundancia activa y redundancia total
B) Redundancia activa y redundancia mayoritaria
C) Redundancia activa y redundancia de reserva
D) Redundancia activa y redundancia parcial

Diseño de Circuitos Eléctricos Asistido por Ordenador - Febrero 2017/2018 Tipo B [Página 1]
6. Los programas de diseño asistidos por ordenador que se dispone en la actualidad incluyen
entornos gráficos para dibujos de esquemas. Se podría distinguir un principio básico en estos
programas:
A) Los propios programas de diseño no incluyen entornos gráficos
B) Bibliotecas de componentes ← p337
C) No hay ningún principio básico en estos programas
D) Tanto la respuesta a como c son correctas

7. Sea Vin el quantity representado en la figura. Indique que representa la curva discontinua:

.. //"'
.
..·.·.·.·.·.·.·>-~~X~
..
··~''·»•.,,
/ y-i•/' =:. -:-:,.'~\... :.:·
.· ... ·: ~ :~'-

,.,~v.'
•.
. . . ~...v. ·.:....>.....•....~?~.~..:-..··.:.·:.:"~~

1
~~~---·····-···-·····"'-"'""'"""""'""'"'"""""~
't
A) Vin'ramp(1,-1 ).
B) Vin'ramp(1, 1).
C) Vin'slew(1,-1 ). ← p736
D) Vin'slew(1, 1).

8. En la tasa instantánea de fallo se suele emplear el bit como unidad de tasa de fallo, lo cual
equivale a: =14SR-5
A) Fallo por cada 106 horas
B) Fallo por cada 109 horas
C) Fallo por cada 10 12 horas
D) Fallo por cada 10 15 horas

9. Los módulos en las FPAA se denominan: =13SR-2


A) CABs
B) LABs
C) AABs
D) DABs

1O. Qué significa la tensión máxima de trabajo en una resistencia =13SO-9


A) Tensión continua máxima que puede soportar la resistencia en régimen permanente a una
temperatura superior a los 30º
B) Tensión continua máxima que puede soportar la resistencia en régimen permanente, en aire
inmóvil y a la temperatura ambiente a fin de superar la potencia de disipación máxima
C) Tensión continua máxima que puede soportar la resistencia en régimen permanente, en aire
inmóvil y a la temperatura superior de 30º a fin de superar la potencia de disipación máxima
D) Tensión continua máxima que puede soportar la resistencia en régimen permanente, en aire
inmóvil y a la temperatura ambiente a fin de no superar la potencia de disipación máxima

Diseño de Circuitos Eléctricos Asistido por Ordenador - Febrero 2017/2018 Tipo B [Página 2]
Problema 1. Dada la tabla de verdad de un flip-flop tipo D. Realice un diseño secuencial con VHDL
utilizando las declaraciones lf-then-else. Nota: la salida Q toma el valor de la entrada D sólo cuando
la transición del pulso de reloj es de O a 1 y se mantiene hasta que se ejecuta de nuevo el cambio de
valor de la entrada clk .

."'"""""*"'. rtj''"""'' """""{;'· ---- .!»'·

D Q O{t.,.i)
1 D o {~

L,,,,'---"'~-"--------,,,
t-"'.;
\2 ""':~ {)
o
--~~J
~
l ~
...¡ ...¡
1
cik

Diseño de Circuitos Eléctricos Asistido por Ordenador - Febrero 2017/2018 Tipo B [Página 3]
Problema 2. Describa brevemente las FPAA.

Los FPAA son circuitos analógicos programables que pueden ser configurados para implementar distintas funciones
analógicas y proporcionan al diseñador de sistemas analógico la libertad de diseñar y crear prototipos alcanzada con
los sistemas digitales. Son el equivalente analógico de los FPGA. Sin embargo, mientras que los FPGA contienen un
gran número módulos e interconexiones, los FPAA contienen un número comparativamente pequeño de módulos.
Estos módulos se denominan CABs (Configurable Analog Blocks). El elemento principal del que está formado un
CAB es el amplificador operacional y cuenta además con bancos de condensadores conmutables, interruptores,
puntos de conexión, etc. Cada CAB puede implementar un número de funciones para el procesado de señales
analógicas como, por ejemplo, integral, derivada, logarítmica, exponencial, suma, etc. Mediante una red de
interconexión programable se conectan las señales de unos CABs a otros y a su vez con los módulos de entrada y
salida. Un registro de bits almacena la configuración de la FPAA. La estructura básica de un FPAA se muestra en la
Figura 14.35.

Distintas metodologías se han desarrollado y siguen evolucionando para la síntesis sobre FPAAs de aplicaciones
analógicas a partir de VHDL-AMS. Las metodologías se basan en el análisis del código que describe el
comportamiento del modelo mediante un parser, que divide las declaraciones del lenguaje en pequeños
componentes formando un ‘parse tree’ (Figura 14.36), para procesarlo posteriormente en un lenguaje intermedio en
función de la tecnología de los CABs de la FPAA, sus interconexiones, etc.
A partir de dicho lenguaje intermedio se genera una lista de conexiones de la arquitectura (netlist) que será utilizado
para configurar la FPAA.

Diseño de Circuitos Eléctricos Asistido por Ordenador - Febrero 2017/2018 Tipo B [Página 4]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Septiembre 2017/2018 Tipo A
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Las dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema evaluar el resto de apartados.

1. En los años sesenta se caracterizaba por diseños manuales en los que primaban:
A) La depuración y mantenimiento de los diseños.
B) Asegurar los niveles eléctricos de interconexión entre componentes basados en transistores. ← p601
C) La reducción de costes en el diseño, que en muchos casos era imposible asumir por la mayoría de las
empresas.
D) El diseño de prototipos de puertas lógicas.

2. En el modelado de una unidad aritmética, los números del tipo SIGNED están codificados en complemento
a: =13SR-7
A)3
B) 10
C)8
D)2

3. En la analogía eléctrica-térmica a qué equivale en un sistema térmico un generador de tensión del sistema
eléctrico. =14F2-4
A) Fuente de calor
B) Flujo térmico
C) Diferencia de temperatura
D) Resistencia térmica

4. Qué representa este símbolo:

@
~
A) Interruptor de proximidad con contacto de cierre. Sensor inductivo de materiales metálicos
B) Interruptor sensible al contacto con contacto de cierre
C) Contacto de apertura de un interruptor de posición con maniobra positiva de apertura. Final de carrera de
seguridad ← p98
D) Interruptor de proximidad con contacto de cierre accionado por imán.

5. Que afirmación es correcta en un transistor bipolar: =13F2-8


A) Se puede controlar IE modificando la tensión de entrada de control VBE, con independencia del valor de
las tensiones derivadas VCB y VCE.
B) Se puede controlar IC modificando la tensión de entrada de control VBE, con independencia del valor de
las tensiones derivadas VCB y VCE.
C) Se puede controlar IC modificando la tensión de control VCB, con independencia del valor de las tensiones
VBE yVCE.
D) Se puede controlar IE modificando la tensión de control VCB, con independencia del valor de las tensiones
VBE yVCE.
6. Qué indica el parámetro BV en PSpice para el diodo semiconductor y que valor toma por defecto
A) La tensión inversa de ruptura por avalancha, igual a O V por defecto
B) La tensión inversa de ruptura por avalancha, igual a co V por defecto ← p307
C) La tensión de polarización directa, igual a O V por defecto
D) La tensión de polarización directa, igual a co V por defecto

7. El color negro en el código de colores de las resistencias representa:


A) la unidad (x1 ).
B) la decena (x10).
C) la centena (x100).
D) el cero. ← p477

8. Las aproximaciones del modelo ideal de simulación de un componente al modelo real permiten:
A) importar nuevos parámetros en el modelo para hacerlo más aproximado.
B) eliminar nuevos parámetros en la definición del modelo para hacerlo más aproximado.
C) incluir nuevos parámetros en la definición del modelo para hacerlo más aproximado. ← ???
D) definir nuevos parámetros en el modelo para hacerlo más aproximado.

9. En un simulador de circuitos electrónicos para que se usa el análisis de sensibilidad:


A) para ver las variaciones del circuito ante las tolerancias de los componentes del mismo. ← ???
B) para ver las variaciones del circuito ante dos o más parámetros.
C) para ver las variaciones del circuito ante algún parámetro.
D) para ver las variaciones del circuito ante el calentamiento de los componentes del mismo.

1O. La vida útil de un condensador:


A) disminuye con la temperatura ambiente. ← ???
B) aumenta con la temperatura ambiente.
C) disminuye con la frecuencia de funcionamiento.
D) aumenta con la frecuencia de funcionamiento.
Problema 1. Diseñe en VHDL un codificador de prioridad (vea la tabla de verdad) empleando when-
else.

Input Output
(x) (pcode)

1--- 1 oo
o1 - - o1 1
oo 1 - o1 o
oo o 1 oo 1
0000 000
Problema 2. OrCAD PSpice es un simulador mixto pero en realidad sólo simula sistemas analógicos
y cuando detecta alguna primitiva digital, utiliza unos convertidores NO y D/A invisibles para el
diseñador que convierten las señales analógicas en digitales y viceversa. Nombre y defina los
posibles valores que pueden tener las señales digitales y la letra por la que se representan.
7.3.1 Modelado de los niveles lógicos de las señales
OrCAD PSpice es un simulador mixto pero, en realidad, sólo simula sistemas analógicos y, cuando detecta alguna
primitiva digital, utiliza unos convertidores A/D y D/A invisibles para el diseñador que convierten las señales
analógicas en digitales y viceversa.

Los posibles valores que pueden tener las señales digitales son:
• Nivel lógico alto: Representa un valor lógico ‘1’ y se muestra en verde.
• Nivel lógico bajo: Representa un valor lógico ‘0’ y se muestra en verde.
• Alta impedancia: Representa una señal que no es conducida por la salida de ningún componente, es decir, es como
si estuvieses sin conectar o “al aire”. Se muestra en color azul y se representa por la letra Z.
• Indeterminado: Representa una señal que tiene un valor de tensión que no se corresponde con un cero ni con un
uno. Se muestra en color rojo y se representa por la letra X.
• Transición de nivel bajo a alto: Se representan por la letra R.
• Transición de nivel alto a bajo: Se representan por la letra F.

En general, el simulador OrCAD Pspice modela de forma muy realista el comportamiento de los circuitos digitales
porque tiene en cuenta las especificaciones reales de los circuitos que proporcionan los fabricantes y, a su vez, los
propios fabricantes suelen proporcionar los modelos para PSpice de los componentes que fabrican, lo cual es
de gran ayuda para el diseñador.
En OrCAD Pspice, los circuitos digitales se tratan igual que los analógicos, sólo que en las gráficas de salida del
programa Probe los valores de las señales digitales se tratan como estados o niveles lógicos. Esto es posible gracias a
que PSpice A/D dispone de unas interfaces entre las señales analógicas y digitales, y viceversa. Estas interfaces se
denominan AtoD y DtoA, y son transparentes para el diseñador que utiliza esquemas de OrCAD Capture.

11.3.2 Análisis eléctrico con OrCAD PSpice


Una de las ventajas que presenta el programa OrCAD PSpice es que permite realizar simulaciones mixtas analógico-
digitales. Esto es debido a que, como se vio en el Apartado 7.3, utiliza unas interfaces para convertir señales de
digital a analógico y viceversa, que se denominan AtoD y DtoA. Para ello se modela la interfaz de entrada salida de
los componentes, de forma que se fijan los niveles de tensión de los componentes, así como las impedancias de
entrada y salida y las capacidades parásitas. Si se simulan circuitos que sólo tienen componentes digitales, por defecto
solo se ven señales digitales en la salida del simulador, pero, cuanto se añade algún componente analógico, esta
situación cambia.
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Febrero 2018/2019 Tipo A
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Las dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema evaluar el resto de apartados.

1. ¿Qué indica este componente de OrCAD? =14F1-2


OFFTIME = .5uSDSTM 1
ONTIME = .5uS ~--n
DELAY= ~----
STARTVAL =O
OPPVAL = 1

A) DigClock, estímulo de un bit que se utiliza como señal de sincronismo


B) FileStim, estímulo genérico que se programa en un simple fichero de texto
C) STIM, estimulo que permite programarse mediante comandos de PSpice
D) FileStim, estímulo de un bit que se utiliza como señal de sincronismo

2. A partir de una FPGA modelo EP1 C3T1 OOC6 de la familia Cyclone, la cual posee 24 columnas y 13 filas
de LAB, y cada LAB tiene 1O LE. ¿Cuántos elementos lógicos se tiene en total? =14F1-7
A) 312
B) 3120
C) 130
D) 240

3. Se entiende por fallo a la terminación de la capacidad de un dispositivo para realizar su función dentro de
unos ciertos límites definidos de actuación. Según en qué instante se produzcan se pueden clasificar
como: =14F2-4
A) Precoces, aleatorios y de desgastes
B) Previos, aleatorios, secuenciales y de tiempo de vida
C) Previos y de desgaste
D) Aleatorios y de desgaste

4. Diga cuál es la respuesta correcta en un conductor =14SR-8


A) A altas frecuencias aparece el efecto pelicular en los conductores disminuyendo la resistencia del
conductor.
B) La conductividad específica eléctrica es directamente proporcional a la resistividad e indica la facilidad
con la que el material permite el flujo de la corriente.
C) La densidad de corriente es el productor entre la intensidad de corriente que circula por un conductor
por su sección
D) Para altas frecuencias la corriente eléctrica se concentra en la corona exterior del conductor
reduciendo la sección de conducción por la que se produce el flujo eléctrico.

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2018/2019 Tipo A [Página 1]
5. En el modelado de máquinas de estado, existen dos tipos más utilizados de máquinas de estado: =13F2-1
A) Tipo Moore, en las que las salidas sólo dependen de las entradas y la tipo Mealy en las que las salidas
dependen del estado interno.
B) Tipo Moore, en las que las salidas dependen de las entradas y del estado interno y la tipo Mealy en la
que la salida depende del estado interno
C) Tipo Moore, en las que las salidas sólo dependen del estado interno y la tipo Mealy, en las que la
salida depende del estado interno y del entradas
D) Tipo Moore, en las que las salidas sólo dependen del estado interno y la tipo Mealy, en las que la
salida depende de las entradas

6. Cómo se llama a la asignación de un símbolo a cada componente en la descripción estructural en VHDL.


A) Correspondencia =16SO-4
B) Transferencia
C) Replicación
D) Equivalencia

7. ¿Qué posibles valores puede tomar una señal digital? =16SR-2


A) Nivel lógico alto y nivel lógico bajo
B) Nivel lógico alto, nivel lógico bajo, alta impedancia, indeterminado, transición de nivel bajo a alto y
transición de nivel alto a bajo
C) Nivel lógico alto, nivel bajo, alta impedancia, transición de nivel bajo a alto y transición de nivel alto a
bajo
D) Nivel lógico alto, nivel lógico bajo y alta impedancia

8. En el diseño de un transformador cuando se pone a 1 el parámetro COUPLING en PSpice, ¿qué


significa?
=13F2-3
A) Que la inductancia mutua entre bobinas es igual a 1
B) Que las dos bobinas están totalmente acopladas
C) Que el valor de las bobinas del transformador es igual a 1H
D) Que la bobina del primario es igual a 1H

9. Qué significa este símbolo:

'"
"""""""'] ,,,,,,,,,,,...,,

,,,,,,,,,,,,,,,,,,,,,,,,,,,,,...

A) Regulador de tensión
B) Comparador de tensiones
C) Conmutador electrónico analógico
D) Puerta lógica con una entrada negada ← p122

10. ¿Cuál es el máximo nominal del ángulo de rotación en un potenciómetro? =16SR-6


A) Entre 160° y 200°, con una determinada tolerancia especificada en las hojas de referencia del
dispositivo
B) Entre 80° y 170°, con una determinada tolerancia especificada en las hojas de referencia del
dispositivo
C) Entre 240° y 300°, con una determinada tolerancia especificada en las hojas de referencia del
dispositivo
D) Entre 260° y 320°, con una determinada tolerancia especificada en las hojas de referencia del
dispositivo
Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2018/2019 Tipo A [Página 2]
Problema 1. Diseñe en VHDL, un comparador de magnitud de 4 bits (véase figura) usando una descripción
comportamental algorítmica. Para ello complete el código que se adjunta.
=15SO-P1

a X

V
,,

b z

1: -- Comparador de Magnitud de 4 bits


2: library IEEE;
3: use IEEE.STD_LOGIC_1164.ALL;
4: use IEEE.STD_LOGIC_ARITH.ALL;
5: use IEEE.STD_LOGIC_UNSIGNED.ALL;
6:
7:
8:
9:
10:
11:
12:
13:
14:
15:
16:
1 7:
18:
19:
20:
21:
22:
23:
24:
25:
2 6:

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2018/2019 Tipo A [Página 3]
Problema 2. Identifique los siguientes símbolos:

Símbolo Descripción Símbolo Descripción


Puente Condensador
rectificador con resistencia
p158 T intrínseca en
serie
p157
Puerta lógica O Regulador de
UREG
p120 tensión
positiva de
1. •"\
~ U+ + L25 V o--;::__
. O\/ ,_L valor de salida
ajustable
p117

Amplificador Multiplicador -
operacional divisor u = ab/c
- - - p116 a- X p115
- + b- y

e- Z

Triac. Tiristor Elemento de


triodo
bidireccional.
p113 8 señalización
electromecánico
p112

Lámpara de
Silbato de

¿ accionamiento
eléctrico
p112
señalización,
tipo oscilatorio
p111

1 1

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2018/2019 Tipo A [Página 4]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Febrero 2018/2019 Tipo B
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Las dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema para evaluar el resto de apartados.

1. Indique cuál de la siguiente frase es correcta: =15F2-7


A) Los data objects son elementos a los que se les asigna un valor de un determinado tipo de datos. En
VHDL-AMS los objetos de datos QUANLITY y TERMINAL se añaden a los ya existentes en VHDL
(CONSTANT, SIGNAL y VARIABLE).
B) Los data objects son elementos a los que se les asigna un valor de un determinado tipo de datos. En
VHDL-AMS los objetos de datos QUANLITY y TERMINAL se añaden a los ya existentes en VHDL
(CONSTANT, SOURCE y VARIABLE).
C) Los data objects son elementos a los que se les asigna un valor de un determinado tipo de datos. En
VHDL-AMS los objetos de datos QUANTITY y TERMINAL se añaden a los ya existentes en VHDL
(CONSTANT, SOURCE y VARIABLE).
D) Los data objects son elementos a los que se les asigna un valor de un determinado tipo de datos. En
VHDL-AMS los objetos de datos QUANTITY y TERMINAL se añaden a los ya existentes en VHDL
(CONSTANT, SIGNAL y VARIABLE).

2. Cómo se relaciona el coeficiente de transconductancia con la corriente de drenador a tensión puerta-


fuente nula y la tensión umbral en un transistor JFET en la zona de saturación: =15F2-4
A) BETA= Ivss2
VT0
B) BETA = IYosl
Ivss
C) BETA = Ivss
IVTOI
O) BETA = Ivss
VTO

3. Qué significa RTL en el entorno de las descripciones en VHDL =16SO-9


A) Transferencia baja entre registros
B) Transferencia entre registros lógica
C) Transferencia entre registros larga
D) Transferencia entre registros

4. Dentro del programa Oregano: =17F1-6


A) El archivo netlist no se genera automáticamente
B) Permite añadir librerías y componentes.
C) Admite los sistemas operativos: Linux, Solaris, FreeBSD, NetBSD, Cygwin, Macos y Windows
D) Permite únicamente simulaciones: OC y AC.

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2018/2019 Tipo B [Página 1]
5. La ley de Ohm térmica tiene la siguiente expresión: =15F1-6
A) l1T = Rth · <l>th
B) !1T = Rth · Cth
C) l1V = Rth · <l>th
D) !1V = Rth · Cth

6. Que afirmación es correcta en un transistor bipolar: =13F2-8


A) Se puede controlar IE modificando la tensión de entrada de control VBE, con independencia del valor
de las tensiones derivadas VCB y VCE.
B) Se puede controlar IC modificando la tensión de entrada de control VBE, con independencia del valor
de las tensiones derivadas VCB y VCE.
C) Se puede controlar IC modificando la tensión de control VCB, con independencia del valor de las
tensiones VBE y VCE.
D) Se puede controlar IE modificando la tensión de control VCB, con independencia del valor de las
tensiones VBE y VCE.

7. Qué indica el parámetro BV en PSpice para el diodo semiconductor y que valor toma por defecto =18SO-6
A) La tensión inversa de ruptura por avalancha, igual a O V por defecto
B) La tensión inversa de ruptura por avalancha, igual a oo V por defecto
C) La tensión de polarización directa, igual a O V por defecto
D) La tensión de polarización directa, igual a oo V por defecto

8. La Organización ISO: =18F1-2


A) está formada por miembros correspondientes, uno por país, recayendo la representación en el
organismo nacional más representativo; y miembros suscritos, países con reducidas economías a los
que se les exige el pago de tasas menores a los correspondientes.
B) donde AENOR en España, organismo nacional de normalización, forma parte de la ISO
C) desarrolla normas que son de carácter obligatorio para todos los países que forman parte de ella.
D) está formada únicamente por miembros simples, uno por país, recayendo la representación en el
organismo nacional más representativo.

.tHHE~t--
9. Qué significa este símbolo:

•·
A) Accionamiento por energía hidráulica o neumática, de doble efecto ← p92
B) Accionamiento electromagnético
C) Mando por acumulación de energía
D) Leva

10. Acerca de la simbología la norma a nivel internacional IEC 60617, se publicó en España como la norma:
A) EN 60617 =14F2-9
B) UNE-EN 60617
C) NEC 60617
D) IEEE 60617

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2018/2019 Tipo B [Página 2]
Problema 1. Explique los tres objetivos fundamentales que persigue la normalización. A continuación de
acuerdo a la norma UNE-EN 60617 (IEC 60617). Dibujo tres símbolos básicos relativos a conductores,
componentes pasivos y elementos de control y protección básicos y explique su significado.
=14SO-P1

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2018/2019 Tipo B [Página 3]
Problema 2. Para el modelado de componentes analógicos con PSpice complete la siguiente tabla =14SR-P2

Comando Definición
01 123 QN

G112341m

.OC LIN V1 2 5 0.5

.TF

.SUBCKT

.FOUR

.MC 4 TRAN V(4) YMAX

.SENS V(6)

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2018/2019 Tipo B [Página 4]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Septiembre 2018/2019 Tipo A
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Las dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema evaluar el resto de apartados.

1. Cómo se llama a la corriente que circula por un diodo zener en polarización inversa: =13F1-8
A) Corriente de saturación
B) Corriente de pequeña señal
C) Corriente de ánodo
D) Corriente de avalancha

2. ¿Qué materiales presentan mayor conductividad? =16SO-8


A) Zinc y mercurio
B) Plata, cobre, oro y aluminio
C) Niquel, Hierro y Cobalto
D) Cobre y hierro
=13SO-10
3. ¿Cómo es el proceso de trazado de la placa del PCB?
A) Se hace en la fase de diseño y es un paso opcional que se contempla ante la posibilidad de
producción
B) De forma automática se emplazan los componentes y se colocan las pistas siguiendo una estrategia
de reducción de gastos. Tal proceso es propio de la fase de montaje
C) De forma automática se emplazan los componentes y se colocan las pistas siguiendo una estrategia
de optimización predefinida. Tal proceso es propio de la fase de montaje
D) De forma automática se emplazan los componentes y se colocan las pistas siguiendo una estrategia
de optimización predefinida. Tal proceso es propio de la fase de simulación

4. Si tenemos una resistencia con los siguientes colores: marrón-verde-naranja. Corresponderá a:


A) 10k0
B) 15k0 ← p477
C) 20k0
D) 25k0

5. A partir de una FPGA modelo EP1C3T100C6 de la familia Cyclone, la cual posee 24 columnas y 13 filas de
LAB, y cada LAB tiene 10 LE. ¿Cuántos elementos lógicos se tiene en total? =14F1-7
A) 312
B) 3120
C) 130
D) 240

Diseño de Circuitos Eléctricos Asistido por Ordenador- Septiembre 2018/2019 Tipo A [Página 1]
6. Los modelos lógicos de fiabilidad son: =14F2-5
A) subsistemas y componentes
B) modelo serie y paralelo
C) modelo serie, paralelo y mixto
D) modelo funcional y estructural

7. Qué significa este símbolo:

A) Motor de colector serie monofásico (corriente alterna)


B) Motor paso a paso
C) Autotransformador (unifilar) ← p105
D) Máquina rotativa

8.Qué valor se debe asignar al parámetro COUPLING para indicar que las dos bobinas de un transformador
están totalmente acopladas?
A) O
B) 1 ← p302
C) 2
D) 3

9. En el análisis de barrido en frecuencia, ¿cuál de la siguiente afirmación respecto a los comandos PSpice es
correcta? =16F2-9
A) En el análisis de alterna, para los componentes tantos lineales como no lineales calcula en primer
lugar el punto de trabajo del circuito y considera pequeñas variaciones en torno a él.
B) En el tipo de barrido lineal se hace con los <n_puntos> repartidos mediante una distribución normal
entre los límites de frecuencias
C) .AC <escala> <n_puntos> <freq_inicial> <freq_final>; donde a la hora de calcular los puntos de
frecuencia el <tipo> de barrido puede ser lineal (LIN) o logarítmico en décadas (DEC)
D) .AC <escala> <n_puntos> <freq_inicial> <freq_final>; donde a la hora de calcular los puntos de
frecuencia el <tipo> de barrido puede ser lineal (LIN) o logarítmico en décadas (DEC) o también
logarítmico en octavas (OCT)

1O. En un análisis OC Sweep en PSpice, ¿cómo puede ser el Sweep Type? =17SO-4
A) Logarithmic Octave o Logarithmic Decade
B) Linear o Logarithmic
C) Linear o Exponential
D) Linear o Quadratic

Diseño de Circuitos Eléctricos Asistido por Ordenador- Septiembre 2018/2019 Tipo A [Página 2]
Problema 1. Defina y dibuje la curva de bañera de un componente o sistema. Y explique cada uno de sus
periodos y tasas que aparecen.
15.4 Curva de mortalidad o de “bañera”
La curva de bañera, llamada así por su forma, figura 15.1, representa casi siempre la tasa de fallo, λ(t), de un
componente o sistema en función de su edad. Se puede distinguir en ella tres periodos durante los cuales se puede
producen los fallos. Hay un primer periodo, de 0 a TE, con tasa de fallo rápidamente decreciente, que corresponde a
los fallos infantiles. Hay dos funciones estadísticas, la función gamma y la de Weibull, que se ajustan a este
periodo en el cual aparecen los defectos debidos a errores de fabricación o de concepción, como malas técnicas de
fabricación, mano de obra inexperta, malos materiales, control mediocre de calidad y otros.
Un segundo periodo se denomina de vida útil en el que la tasa de fallo, λu es prácticamente constante. Entre los
posibles fallos en este periodo se puede mencionar poca seguridad, errores humanos en el uso, mala aplicación, es
decir, los fallos aparecen más bien por azar, de manera aleatoria.

Por último se tiene el tercer periodo o de desgaste o envejecimiento, con tasa de fallo λw(t) creciente, que
caracteriza el final de la vida útil del producto. Entre las causas de este desgaste se puede citar la edad, degradación,
fluencia, fatiga, etc. La función densidad que mejor se ajusta es la normal de Gauss-Laplace.
Contrariamente al periodo infantil en este periodo el producto es cada vez peor y el aumento de la tasa de fallo se
pone de manifiesto.

La expresión matemática que nos da la función densidad correspondiente a la curva de bañera puede ser como:

F(t) = A.fe(t) + B.fu(t) + C.fw(t) (15.18)

En la que fe(t), fu(t) y fw(t) son las funciones densidad correspondientes a cada uno de los tres periodos indicados,
mientras que A, B y C representan las probabilidades de que los fallos sean infantiles, aleatorios o por desgaste, de
forma que se cumple que A+B+C=1. Además, la tasa de fallo durante el periodo infantil puede expresarse como:

λe(t) = λe(τ) + λu + λw(τ) (15.19)

y λw(τ) es despreciable en este periodo y τ representa la variable aleatoria que puede tomar cualquier valor de t entre
0 y ∞.

Diseño de Circuitos Eléctricos Asistido por Ordenador- Septiembre 2018/2019 Tipo A [Página 3]
Problema 2. En el diseño de sistemas lógicos analógicos desde alto nivel (VHDL-AMS), explique los
siguientes atributos para un quantity Q.

Q'dot
Derivada de Q respecto del tiempo.

Q' integ Integral de Q respecto del tiempo.

Q'delayed (t) Q retrasada un tiempo t.

Q'above (E) Una señal booleana que es verdadera si el valor de Q>E, en caso contrario falsa.

Q'ltf (num, den)


Función transformada de Laplace para Q.
Los polinomios del numerador (num) y denominador tienen que estar expresados
como vectores (real_vector).

Diseño de Circuitos Eléctricos Asistido por Ordenador- Septiembre 2018/2019 Tipo A [Página 4]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Febrero 2019/2020 Tipo A
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Las dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema evaluar el resto de apartados.

1. En un entorno CAEE qué etapa precede a la etapa de Simulación


A) Diseño del circuito impreso
B) Compilación - expansión ← p39
C) Simulación térmica y de interferencias
D) Control de calidad

2. Que afirmación es correcta en un transistor bipolar: =13F2-8


A) Se puede controlar IE modificando la tensión de entrada de control VBE, con independencia del valor de las
tensiones derivadas VCB y VCE.
B) Se puede controlar IC modificando la tensión de entrada de control VBE, con independencia del valor de las
tensiones derivadas VCB y VCE.
C) Se puede controlar IC modificando la tensión de control VCB, con independencia del valor de las tensiones
VBEyVCE.
D) Se puede controlar IE modificando la tensión de control VCB, con independencia del valor de las tensiones
VBEyVCE.

3. En OrCAD con es la extensión de las bibliotecas de símbolos y la de modelos =13SO-5


A) Biblioteca de símbolos: .sch y Biblioteca de modelos: .olb
B) Biblioteca de símbolos: .sch y Biblioteca de modelos: .lib
C) Biblioteca de símbolos: .olb y Biblioteca de modelos: .lib
D) Biblioteca de símbolos: .lib y Biblioteca de modelos: .olb

4. Qué indica el comando de formato de PSpice: .SUBCKT =14F1-1


A) Indica el final de la descripción de un subcircuito
B) Indica el final del fichero con este comando
C) Indica que se va a describir un subcircuito
D) Indica que se va a describir un componente secundario

5. Qué significa este símbolo:

A) Oscológrafo
B) Sincronoscopio. Indicador del desfase entre dos señales para su sincronización ← p169
C) Relé electromagnético
D) Galvanómetro. Indicador del aislamiento galvánico

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2019/2020 Tipo A [Página 1]
6. ¿Cuál es la opción más adecuada de uso del Bus Vector Connect en NI Multisim?
A) Para conectar dispositivos multi-pin ← p275
B) Para conectar puertas lógicas de más de una entrada
C) Es una opción obsoleta que en versiones posteriores se eliminara
D) Se utiliza para conectar dispositivos con una única salida

7. En la biblioteca BREAKOUT hay disponibles dos transistores de tipo MOSFET, uno de canal N y otro de
canal P, que se denominan respectivamente:
A) Mosfetbreakn y Mosfetbreakp
B) Mosfetoutn y Mosfetoutp
C) Mbreakn y Mbreakp ← p328
D) Moutn y Moutp

8. ¿Qué materiales presentan mayor conductividad? =16SO-8


A) Zinc y mercurio
B) Plata, cobre, oro y aluminio
C) Niquel, Hierro y Cobalto
D) Cobre y hierro

9. A partir de una FPGA modelo EP1C3T100C6 de la familia Cyclone, la cual posee 24 columnas y 13 filas de
LAB, y cada LAB tiene 10 LE. ¿Cuántos elementos lógicos se tiene en total? =14F1-7
A) 312
B) 3120
C) 130
D) 240

10. Los módulos en las FPAA se denominan: =13SR-2


A) CABs
B) LABs
C)AABs
D)DABs

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2019/2020 Tipo A [Página 2]
Problema l. A partir del siguiente código VHDL, dibuje el cronograma de evolución de las señales enl, en2,
sl, s2, s3 y s4 entre los instantes Oy 60 ns.

1: l.ib:rarv IEE~·E :;
· . use .IEE:E ......7-:td. l:::gic ll~S·4.-.all~;·
3: ent.íty de::;;,::;~::::c<ll':' is
~ ~ e:nd entit~y ::i~sar:r::~llo.1"
S: arc.hitectt.1re de.:::a.:-::::cll:::: -of is
f.: signal .::::.l 1 .e:.2-1' s.:~,.. a:-4 s t.d l.~:·~r:;..,-:.:.>~
7: signal Enl, eTI2 : .st..::i l~:·fr::_.c>';_
8: hegin
9: sl ~= enl nand en2
process;
'rariatj.l·e '\~ar l .l' '\.~ar2
.... , begin
13:: for :i í:n :::: t~o 4 lo·op
4: 'rar l ~1~1 na.nd ~n..:.::::

f:
<.- s2;

wai t for 1 Ci ;::.s ,;


end loo:p;
l: wait;
.:.; : end prüces:s;
3: eni ~- '1';

' =D ' after l..,


' ::": ,' afteE :_-;;
' {: a.f ter .:'.}

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2019/2020 Tipo A [Página 3]
Problema 2. Dibuje los modelos simplificados estáticos y dinámicos del transistor JFET. A continuación,
enumere y explique los 7 parámetros más importantes para el modelado de estos transistores.

6.8.5.3 Modelado del transistor JFET


Para estudiar el modelo y la metodología de trabajo con el transistor JFET se utilizan los modelos aproximados de la Figura 6.15.
El símbolo que se utiliza en PSpice para los transistores de efecto de campo es la letra J y los principales parámetros de su modelo
son los que se indican en la Tabla 6.13.

Para el transistor JFET, cuya ecuación característica en la zona de saturación (es la zona en la que funciona como amplificador de
forma similar a la zona activa del BJT) está dada por (6.48). La ecuación (6.49) relaciona la transconductancia gm de su modelo de
pequeña señal con el parámetro BETA que, a su vez, depende de la corriente de drenador a tensión puerta-fuente nula (IDS) y de la
tensión umbral, de acuerdo con la ecuación (6.50). El parámetro de modulación de la longitud del canal LAMBDA se obtiene a
partir de admitancia de salida yos y de la corriente IDSS según (6.51).

Las capacidades equivalentes de pequeña señal para el modelo de alta frecuencia del FET se obtienen a partir de las capacidades
Ciss (Capacidad de entrada) y Crs (capacidad de transferencia inversa) que vienen especificadas en su hoja de características por el
fabricante.
Para calcular el parámetro Cis se utiliza (6.52) teniendo en cuenta que la capacidad Crs equivale a la capacidad drenador-puerta.
Por ejemplo, el transistor JFET de canal n B 245B, según sus hojas de características, tiene una Crs de 1.1 pF para unas tensiones
de polarización VDS de 20 V y VGS= -1 V. Por tanto, VDG =VDS-VGS=20-(-1)= 21 V. Ahora, tomando como potencial de la unión
un valor de 0.7 V, y aplicando (6.52), se obtiene un valor para el parámetro Cis de 3.45 pF.
El otro parámetro que falta calcular en la capacidad puerta-surtidor para polarización cero CGS. En este caso se toma el valor de la
capacidad de entrada Cis, que para el B 245B es de 4 pF y, como Cis se mide con Vi= cero, se tiene que la capacidad que se ve
desde la entrada es: Cis=Cgs0+Cgd, lo que implica que: CGS=Cgs0=Cis-Cgd=4-1.1=2.9 nF.

El resto de parámetros para el B245B se obtienen directamente de las hojas de características y son: IS se corresponde con la
corriente inversa de puerta, IS =-IGSS = 5 nA.
VTO se obtiene a partir de la característica de transferencia que, a una VDS de 15 V a 25 ºC, es de -3.6 V.
LAMBDA, según (6.51) y con los valores de yos de 25 μS (para VGS =0 V) y de IDSS de √(6 ∙ 15) = 9.5 mA resulta ser de 2.631∙10
−3V−1.

Hay que tener en cuenta que la admitancia de salida depende del punto de polarización, por tanto, una vez obtenido el coeficiente
LAMBDA se debe calcular la admitancia de salida para el punto de polarización, según: CGS=LAMBDA·IDQ.
BETA, según (6.50), con IDSS = 9.5 mA y VTO = -3.6 V, es 0.73∙10−3 A·V−2.
Por tanto, el modelo para PSpice del transistor BF245B quedaría, de forma aproximada, de la siguiente manera: .MODEL BF245
NJF(IS=5E-9 VTO=-3.6 BETA=0.73E-3 CGD=3.45E-12 CGS=2.9E-12 LAMBDA=2.631E-3)

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2019/2020 Tipo A [Página 4]
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: Febrero 2019/2020 Tipo B
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Las dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema para evaluar el resto de apartados.

1. Dentro del programa Oregano: =17F1-6


A) El archivo netlist no se genera automáticamente
B) Permite añadir librerías y componentes.
C) Admite los sistemas operativos: Linux, Solaris, FreeBSD, NetBSD, Cygwin, MacOS y Windows
D) Permite únicamente simulaciones: DC y AC.

2. ¿Cuál es el máximo nominal del ángulo de rotación en un potenciómetro? =16SR-6


A) Entre 160º y 200º, con una determinada tolerancia especificada en las hojas de referencia del dispositivo
B) Entre 80º y 170º, con una determinada tolerancia especificada en las hojas de referencia del dispositivo
C) Entre 240º y 300º, con una determinada tolerancia especificada en las hojas de referencia del dispositivo
D) Entre 260º y 320º, con una determinada tolerancia especificada en las hojas de referencia del dispositivo

3. Cuando en PSpice se generan ambigüedades o valores no esperados en la salida de los componentes se


denominan: =16F2-5
A) Abrupt
B) Unexpected
C) Wamings
D) Hazards

4. La descripción comportamental por flujo de datos se define: =16F2-6


A) Es la más cercana a un lenguaje convencional. Permite la paralelización de instrucciones por tratarse de una
descripción concurrente
B) Es más cercana a la realización física o estructural aunque sin llegar a serlo. Permite la paralelización de
instrucciones por tratarse de una descripción concurrente
C) Es más cercana a la realización física o estructural aunque sin llegar a serlo. Describe componentes y asigna
señales constituyendo una lista de componentes e interconexiones.
D) Es la más cercana a un lenguaje convencional. Describe componentes y asigna señales constituyendo una
lista de componentes e interconexiones.

5. Qué significa este símbolo:

A) Mando de un relé polarizado


B) Mando de un relé electrónico
C) Mando de un relé de remanencia
D) Bobina de una electroválvula ← p97

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2019/2020 Tipo B [Página 1]
6. Si por 100 electrones inyectados en el emisor de un transistor bipolar, 98 llegan al colector, ¿qué valor tiene
Beta?
A) ~=1
B)~=O
C) ~=0.98 ← p200 pero es alfa=Ic/Ie
D) ~=1.02

7. Los problemas de ambigüedad en el nivel lógico de las señales de un circuito secuencial: =13SR-4
A) Son consecuencia principalmente de los tiempos de setup y de hold de los biestables y del tiempo de
propagación de las puertas lógicas.
B) Están provocados por una definición errónea de los niveles eléctricos de la tecnología utilizada.
C) Son debidos exclusivamente a los tiempos de propagación de las puertas lógicas.
D). Se deben principalmente a los tiempos de hold de los biestables.

8. Qué función se podría seleccionar a partir del siguiente comando de PSpice:


.MC <n_simulaciones> <tipo_análisis> <variable_salida> <función>
A) RISE_ EDGE(<nivel>) y FALL_ EDGE(<nivel>) ← p670
B)MEAN
C) Tanto las respuestas A y B son correctas
D)STD

9. Dentro de los condensadores plásticos, cuáles son los más comunes: =14F2-3
A) De poliéster, poliestireno, polipropileno y policarbonato
B) De poliéster, polietileno, polipropileno y polibutileno
C) De poliéster, poliestireno, polimetilmetacrilato y policarbonato
D) De poliéster, poliamida, polipropileno y policarbonato

10. En PSpice, uno de los parámetros más importante del transistor BJT es VAF, ¿qué significa y qué valor
tiene por defecto?
A) Es la Tensión Early, con valor por defecto de infinito ← p312
B) Es la Tensión Early, con valor por defecto de cero
C) Es el Potencial del sustrato, con valor por defecto de 0.75 V.
D) Es el Potencial del sustrato, con valor por defecto de OV.

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2019/2020 Tipo B [Página 2]
Diseñe un circuito secuencial síncrono del tipo máquina de Moore, con una entrada serie de un bit, que detecte
cuando recibe por dicha entrada tres o más bits consecutivos de valor '1 '.

Por tanto, este circuito tiene una señal de reloj (clk), una entrada serie de un bit (X), una señal de reset
asíncrona activa en '1 ' (reset), una señal que indica el estado en el que se encuentra el circuito (state) y una
señal de salida de un bit (Y). La entity sería:
l :: entit:~:{ -c:irc~lJ.it.ci is
¿ ~: p~3·r·t ~J::..
stat.e
4 :: iI1 .stci l.c~s;ric~;
5 :: re.set .i11. st~:i l·::~~;ric:;
6: clk in std logic);
'7, end entity ci:rn;;ite:·;

El funcionamiento del circuito seria el siguiente. La señal Y se pone a '1 ' cuando por la entrada X se reciben
tres o más bits consecutivos de valor '1 '. Todos los cambios tienen lugar en el flanco de subida de la señal de
reloj. Por otra parte, la señal reset pone asíncronamente el circuito en su estado inicial.

Problema l. A partir del enunciado, escriba en VHDL la architecture que describe el comportamiento del
circuito en términos de una máquina de Moore.

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2019/2020 Tipo B [Página 3]
Problema 2. Por último, dibuje el diagrama de estados correspondiente al circuito que ha diseñado.

Diseño de Circuitos Eléctricos Asistido por Ordenador- Febrero 2019/2020 Tipo B [Página 4]
ASIGNA TURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: febrero 2021/2022 Tipo A
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática/ Grado en Ingeniería Eléctrica
CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Las dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema evaluar el resto de apartados.

1. Acerca de la simbología la norma a nivel internacional IEC 60617, se publicó en España como la norma:
a) EN 60617 =14F2-9
b) UNE-EN 60617
c) NEC 60617
d) IEEE 60617

2. La redundancia de un sistema puede ser: =16F1-3


a) Redundancia activa y redundancia total
b) Redundancia activa y redundancia mayoritaria
c) Redundancia activa y redundancia de reserva
d) Redundancia activa y redundancia parcial

3. ¿Qué materiales presentan mayor conductividad? =16SO-8


a) Zinc y mercurio
b) Plata, cobre, oro y aluminio
c) Niquel, Hierro y Cobalto
d) Cobre y hierro

4. Seleccione la respuesta incorrecta:


a) En VHDL las sentencias concurrentes, dentro de la arquitectura, se ejecutan a la vez. Por lo tanto, no
importa el orden en que aparezcan.
b) En VHDL, dentro de los procesos, el orden de las sentencias no importa. ← p614
c) En VHDL los valores vectoriales van en comillas dobles.
d) Existe un grupo de palabras reservadas en VHDL que no se pueden utilizar para nombrar elementos del
circuito.

S. En el símbolo esquemático de un transistor, la flecha indica: =13SR-10


a) El flujo de electrones en el emisor.
b) El sentido convencional de la corriente en el emisor.
c) El flujo de electrones en el colector.
d) El sentido convencional de la corriente en el colector.
6. La aplicación "Model Agent" en el simulador SIMPLORER que función tiene: =17SO-2
a) Modelar los estímulos que se quieran introducir en el circuito
b) Muestra las librerías de packages y modelos reusables con los que cuenta este simulador
c) Muestra las diferentes opciones que se pueden introducir en la representación Wave del circuito
d) Se encarga de introducir las interacciones entre los distintos componentes del circuito

7. ¿Qué significa este símbolo?

UREG
1 """"" 2
""""' U+ + 5V
ov . 3

a) Regulador de tensión positiva de valor fijo ← p117


b) Regulador de tensión positiva de valor de salida ajustable
c) Regulador de tensión positiva, ajustable, con limitación de corriente
d) Supervisor de tensiones

8. ¿Qué longitud debe tener un hilo de carbono a 20º C para ofrecer una resistencia de 20 ohmios, si el hilo
tiene un diámetro de 1 mm? Datos: Pcarbono aZOºC = 3500 · 10- 8 .íl. · m
a) 1=1,46 m
b) l=0,93m
c) 1=0,44 m ← p468
d) 1=0,29 m

9. Dada una resistencia de 7,3 MO 5%. ¿Cuál sería su código de colores?


a) Azul - Verde -Amarillo - Plata
b) Azul - Verde -Amarillo - Oro
c) Violeta - Naranja - Verde - Plata
d) Violeta - Naranja - Verde - Oro ← p477

10. A partir de una FPGA modelo EP1C3T100C6 de la familia Cyclone, la cual posee 24 columnas y 13 filas de
LAB, y cada LAB tiene 10 LE. ¿Cuántos elementos lógicos se tiene en total? =14F1-7
a) 312
b) 3120
c) 130
d) 240
Problema l. El circuito de la figura muestra una fuente de corriente compuesta por cuatro transistores pnp.
Sabiendo que todos los transistores operan en activa calcule el valor de la resistencia Ri para que la corriente
lo sea 5 veces menor que IREF. Desprecie las corrientes de base respecto a las demás del circuito.

Datos: R 2 = 4,4 kf!; Vcc = 10 V; Vr = 0,025 V; 15 = 7,5 · 10- 14 A


Solución Francisco Javier
Rama derecha:
\lC~C". vcc
Suponemos VEB2=VEB4=0,6 V (}

VCC-VEB2-VEB4=R2·Iref →
Iref=(VCC-VEB2-VEB4)/R2=
=8.8 V/4.4 kΩ = 2 mA → I0 = 0.4 mA
r
~;: R'!

Malla superior:
R1·I0+VEB1=VEB2 → R1=(VEB2-VEB1)/I0
VEB1=N·VT·ln(I0/IS)
VEB2=N·VT·ln(Iref/IS) Qi
r~ mmmmmmmmmmrmmm~
02

Suponiendo N=1 ¡ i
VEB1=0.025·ln(0.4E-3/7.5E-14) ≈ 0.56 V ~

VEB2=0.025·ln(2E-3/7.5E-14) ≈ 0.60 V
→ R1 ≈ (0.60-0.56)/0.4E-3 ≈ 100.59 Ω

Solución ALA

Rama derecha Rama izquierda


IC2=IC4=Iref I0=Iref/5
VEB2=VEB4 IC1=IC2=I0
IC2=IS·e(VEB2/(N·VT)) → VEB2=N·VT·ln(Iref/IS)
Iref=VR2/R2=(VCC-2·VBE2)/R2=(VCC-2·N·VT·ln(Iref/IS))/R2 Como no se especifica, suponemos N=1
Resolviendo numéricamente Iref=0,00195046360348905 A
Malla superior
VCC-I0·R1-VEB1=VCC-VEB2 → R1=(VEB2-VEB1)/I0=(N·VT·ln(Iref/IS))-N·VT·ln(I0/IS))/I0
R1=5·N·VT·(ln(Iref/IS)-ln(Iref/(5·IS)))/Iref=103,1445748 Ω
Problema 2. Respecto a los conductores eléctricos, explique los 5 tipos de conductores más relevantes.

9.3.1.1.8 Tipos de conductores

Hilo
Está formado por un único conductor de sección circular por lo general, aunque también se emplean de sección
cuadrada o rectangular para determinadas aplicaciones. Existen con y sin aislamiento y presenta una mayor rigidez a
medida que la sección aumenta.

Cable
Son conductores trenzados entre sí. Poseen una alta flexibilidad y gran resistencia mecánica a la rotura por torsión o
dobladura. Existe una amplia gama de secciones y presentaciones (unifilares y multifilares). Existen distintas
configuraciones geométricas para los cables: capas concéntricas, de mazo de hilos, trenzados, compuestos, ACSR,
anulares, cables planos IDC.

Cable coaxial
Se basan en un conductor central cubierto de material dieléctrico, pantalla o malla metálica y cubierta protectora.
Algunos modelos incluyen una pantalla adicional entre el material dieléctrico y la malla metálica.

Barra
Las barras, de sección rectangular por lo general, se emplean para grandes corrientes eléctricas.

Conductores de película delgada


Empleado en aplicaciones de microelectrónica, el espesor es de aproximadamente 1μm. el conductor generalmente
empleado es el aluminio depositado mediante serigrafía.
ASIGNA TURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: febrero 2021/2022 Tipo B
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática/ Grado en Ingeniería Eléctrica
CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas, que deben realizarse en el espacio que se
proporciona sin hacer uso de ninguna hoja extra.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Las dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema para evaluar el resto de apartados.

1. Se puede definir la normalización como: =14F2-6


a) El trabajo sistemático de simplificación, agrupación y especialización que se aplica a los elementos y
problemas repetitivos de la producción industrial
b) El trabajo sistemático de estandarización, unificación y especialización que se aplica a los elementos y
problemas repetitivos de la producción industrial
c) El trabajo sistemático de simplificación, unificación y especialización que se agrupa a los elementos y
problemas repetitivos de la producción industrial
d) El trabajo sistemático de simplificación, unificación y especificación que se aplica a los elementos y
problemas repetitivos de la producción industrial

2. En el programa OrCAD PSpice para etiquetar adecuadamente un bus, denominado dato, de 8 bits se debe
nombrar de la siguiente forma:
a) bus[8:1]
b) dato[7:0] ← p414
c) bus{7:0}
d) dato[0:7]

3. Qué componente tiene como principales aplicaciones: la compensación térmica; controlar la temperatura
de recintos (sensores de temperatura); controlar niveles de líquidos y velocidades de fluido; y !imitadores de
picos de corriente. =16SR-7
a) Termistores NTC
b) Resistencias PTC
c) Fotorresistores
d) Varistores

4. En qué tipo de análisis en PSpice no se puede realizar un estudio Monte Carlo/Worst Case =15F2-1
a) Bias Point
b) AC Sweep/Noise
c) DC Sweep
d) Time Domain
S. Para la simulación de fallos en circuitos se han desarrollado diversos algoritmos, que significa el algoritmo
PPSFP: =16SR-9
a) Partition Pattern Single Fault Procedure
b) Parallel Pattern Single Fault Propagation
c) Parallel Propagation Single Fault Procedure
d) Parallel Procedure Single Fault Propagation

6. A qué estímulo de entrada se corresponde la siguiente definición: Es un estímulo de un bit que se utiliza
como señal de sincronismo. Se puede configurar, entre otros parámetros, el tiempo a nivel alto y a nivel bajo,
el retardo y el valor inicial. =15SR-10
a) FileStim
b) DigClock
c) Stim
d) Startval

7. Qué indica el siguiente comando en PSpice: =18F1-9


G112341m
a) Fuente de tensión Gl, conectada a los terminales 1 y 2, controlada por la fuente de corriente que pasa
por los terminales 3 y 4, y cuya ganancia es lmV/A
b) Fuente de tensión Gl de 1 mA/V, conectada a los terminales 1 y 2, y a los terminales 3 y 4.
c) Fuente de corriente Gl de 1 mA/V, conectada a los terminales 1 y 2, y a los terminales 3 y 4.
d) Fuente de corriente Gl de 1 mA/V, conectada a los terminales 1 y 2, controlada por la tensión de los
terminales 3 y 4.

8. Dada una resistencia de 13 MO 10%. ¿Cuál sería su código de colores?


a) Marrón - Naranja - Azul - Plata ← p477
b) Marrón - Naranja - Azul - Oro
c) Rojo - Marrón -Amarillo - Plata
d) Rojo - Marrón -Amarillo - Oro

9. ¿Qué significa este símbolo?

a) Ventilador
b) Calentador de agua
c) Conmutador intermedio. Conmutador de cruce (unifilar) ← p87
d) Pulsador con lámpara indicadora

10. Si por 100 electrones inyectados en el emisor de un transistor bipolar, 98 llegan al colector, ¿qué valor
tiene Beta?
=20F2-6
a) {3 =1
b) {3 =O
c) {3 =0.98
d) f3 =1.02
Problema l. Explique el funcionamiento de los disipadores o radiadores. Explique los tres modos como se
transmite el calor. Por último, explique la analogía eléctrica-térmica.

9.3.2 Radiador
Para proteger a los diferentes componentes de las temperaturas extremas y favorecer el funcionamiento estable debido a
la generación de calor en las uniones, chips, resistencias o resistencias parásitas, se emplean elementos, denominados
disipadores o radiadores, que ayuden a la disipación de calor de los componentes. El calor puede transmitirse de tres
modos:
• Radiación: es una emisión de calor bajo forma de onda de todos los cuerpos con temperatura absoluta superior a 0 °K.
Los cuerpos oscuros poseen mayor poder de radiación que los cuerpos claros, por este motivo los fabricantes de
radiadores suelen ennegrecerlos.
• Convección: la transmisión de calor se produce hacia el medio que lo rodea. La transmisión de calor por convección
puede ser:
- Natural: las capas de fluido caliente poseen menor densidad y ascienden, dejando su lugar a fluido más fresco.
- Forzada: la circulación del fluido es provocada, para el caso de aire, mediante ventiladores.
• Conducción: es la transmisión de calor entre dos cuerpos en contacto.
9.3.2.1 Analogía eléctrica-térmica
La analogía entre los circuitos eléctricos y los circuitos de flujo de calor nos permitirá definir una ley de Ohm térmica.
De esta forma, las fuentes de calor en sistemas térmicos cumplen el papel de las fuentes de tensión en sistemas
eléctricos, la diferencia de temperatura (ΔT) a la de la tensión, el flujo térmico (Φth) es el equivalente de la corriente
eléctrica, la resistencia térmica (Rth) el homólogo a las resistencias eléctricas y la temperatura ambiente (Ta) en sistemas
térmicos cumple el papel de la tierra en sistemas eléctricos. Estos conceptos se resumen en la Tabla 9.2.

De esta forma se puede definir la ley de Ohm


térmica de la forma: ΔT = Rth·Φth (9.3)

9.3.2.2Análisis térmico
El análisis térmico tiene por objetivo
determinar la necesidad de utilizar un
disipador en el elemento de estudio.

En primer lugar, se tiene que obtener la potencia disipada por el componente y que se
corresponde con el flujo térmico (por lo general, esta potencia se corresponde con el
producto de la caída de tensión en sus bornes por la corriente que le atraviesa). En las
hojas de especificaciones del fabricante de los distintos componentes (data sheets),
además de la temperatura máxima de la unión y la potencia máxima disipable, se
obtienen los valores de la resistencia térmica unión-aire (RThj-a) unióncápsula (RThj-
c). Con estos datos (Figura 9.1), y conociendo la temperatura ambiente de operación, se
puede calcular la temperatura en la unión estimada, Test-j, a partir de la ley de Ohm
térmica expresada anteriormente: (9.4)

A la temperatura en la unión facilitada por los fabricantes se le aplica un margen de


seguridad a fin de asegurar la operación dentro de los límites de seguridad. Si la
temperatura estimada es mayor o igual a la temperatura en la unión máxima de diseño,
será necesario añadir un disipador.
En el caso que sea necesario añadir un disipador (Figura 9.2), se fija la temperatura en la
unión estimada que se desea obtener en operación y se calcula la resistencia térmica del
disipador: (9.5)

El radiador seleccionado deberá tener una resistencia térmica como máximo del valor obtenido mediante (9.5).
Problema 2. Una función F depende de cuatro variables D, C, B, A, que representan un número binario, donde
A es la variable menos significativa. La función F adopta el valor de uno si el número formado por las cuatro
variables es inferior o igual a 7 y superior a 3. En caso contrario la función Fes cero.
Obtenga la tabla de verdad de la función F y realice el programa correspondiente en VHDL (utilice estructuras
del tipo when-else y operadores lógicos).
ASIGNATURA: Diseño de Circuitos Eléctricos Asistido por Ordenador CURSO: septiembre 2021/2022 Tipo A
CARRERA: Grado en Ingeniería Electrónica Industrial y Automática/ Grado en Ingeniería Eléctrica
CÓDIGO: 68014108

MATERIAL PERMITIDO: Solo calculadora no programable

LEA ESTO CON ATENCIÓN:


Si lo desea puede enviar con las hojas de la Prueba las hojas donde ha realizado las cuestiones, aunque en
el caso de las cuestiones se evaluará sólo la hoja de lectura óptica (salvo dudas en alguna pregunta). Si
deberá entregar obligatoriamente el contenido de los problemas.
Cuestiones: Cada cuestión vale 0,5 puntos y se descontará 0,25 en caso de indicar una solución errónea. La
respuesta es única. Las cuestiones supondrán en total 5 puntos y en esta parte se deberá obtener un mínimo
de 2,5 puntos de forma que se evalúe la parte de problemas.
Problemas: Cada problema vale 2,5 puntos. Las dos supondrán 5 puntos del total. Se deberá obtener un
mínimo de 1 punto en cada problema evaluar el resto de apartados.

1. En OrCAD cuál es la extensión de las bibliotecas de símbolos y la de modelos =13SO-5


A. Biblioteca de símbolos: .sch y Biblioteca de modelos: .olb
B. Biblioteca de símbolos: .sch y Biblioteca de modelos: .lib
C. Biblioteca de símbolos: .olb y Biblioteca de modelos: .lib
D. Biblioteca de símbolos: .lib y Biblioteca de modelos: .olb

2. En el modelado de una unidad aritmética, los números del tipo SIGNED están codificados en complemento
a: =13SR-7
A. 3
B. 10
c. 8
D. 2

3. ¿Qué nos indica la conductividad eléctrica? =14F1-4


A. La oposición del material al paso de la electricidad
B. La facilidad con la que el material permite el flujo de la corriente
C. La conductividad es la inversa al cuadrado de la resistividad eléctrica
D. La conductividad se mide en S x m

4. ¿Qué significa este símbolo?

A. Mecanismo apertura de puertas


B. Explosor ← p172
C. Derivación de sobretensión
D. Cristal piezoeléctrico

S. Determine la resistencia de 2400 cm de alambre de plata que posee un diámetro de 25 centímetros. Datos:
p = 1.59 X 10-s D.· m
A. R = 7.775 X 10- 6 D. ← p468
B. R = 4.837 X 10- 6 D.
C. R = 5.664 X 10- 6 D.
D. R = 2.708 X 10- 6 D.
6. En el proceso de implementación con VHDL en un dispositivo reconfigurable, la etapa de Adaptación o
ajuste consiste en: =13F2-2
A. Todo el diseño se sintetiza en un único circuito que consiste en la interconexión de Logic Elements del tipo de
los que dispone el dispositivo físico que se va a utilizar
B. Se verifica el correcto funcionamiento del circuito sintetizado
C. Se determina cada Logic Elements que se va a utilizar de la FPGA. Esto implica, elegir las rutas para
interconectar correctamente los distintos elementos lógicos
D. Se analizan los tiempos de setup y de hold y los retardos de propagación a lo largo de las interconexiones del
circuito

7. Se entiende por fallo a la terminación de la capacidad de un dispositivo para realizar su función dentro de
unos ciertos límites definidos de actuación. Según en qué instante se produzcan se pueden clasificar como:
A. Precoces, aleatorios y de desgastes
B. Previos, aleatorios, secuenciales y de tiempo de vida =14F2-4
C. Previos y de desgaste
D. Aleatorios y de desgaste

8. ¿Qué significa este símbolo?

;~~;h
' ttt
y"
lt
+·)~
A.
~·~
:1

Pararrayos
B. Interruptor automático magnetotérmico
C. Interruptor automático diferencial ← p88
D. Interruptor automático de máxima intensidad

9. En un análisis DC Sweep en PSpice, ¿cómo puede ser el Sweep Type? =17SO-4


A. Logarithmic Octave o Logarithmic Decade
B. Linear o Logarithmic
C. Linear o Exponential
D. Linear o Quadratic

10. Qué empresa desarrolló Micro-Cap


A. Electronics Workbench
B. Cadence
C. Spectrum Software ← p230
D. Aucotec
Problema l. Explique los tres principales modelos empleados en los simuladores digitales: modelos de
puertas, modelos descriptivos y modelos físicos. =14F2-P2
Problema 2. Suponiendo que los diodos de la Figura son iguales e ideales salvo por tener una tensión umbral
igual a 0,6 V, indique su estado (ON/OFF) y el valor de la tensión V0 para los valores de V1 señalados en la
tabla. Desarrolle y explique cada caso y escriba los resultados finales en la tabla.

R1 \lo

V1 (V) Dz Vo(V)
o ON ON 5.4
5 ON OFF 7.8
9,4 OFF OFF 10

También podría gustarte