Está en la página 1de 46

U

N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA


E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

PRACTICA 3

CIRCUITOS MSI

Codificadores, Decodificadores y Multiplexores

Estudiantes:

Daniela Cañas 29.601.77

Gabriel Gimenez 29.737.290

Junio de 2023
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Práctica 3

Apoyo teórico

Codificadores Binarios

Un codificador es un circuito combinacional con 2N entradas y N salidas, cuya


misión es presentar en la salida el código binario correspondiente a la entrada
activada. Es decir, es un dispositivo que transforma una señal expresada en un
código no binario a un código binario. La figura 1 muestra el diagrama lógico de un
codificador binario. Existen dos tipos fundamentales de codificadores:
codificadores sin prioridad y codificadores con prioridad. El codificador con
prioridad es capaz de atender a varias entradas simultáneas y determinar el criterio
que da prioridad a una señal u otra, mientras que el sin prioridad solo acepta una
entrada cada vez.

Figura 1. Diagrama lógico de un codificador binario


U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Decodificadores Binarios

Un decodificador binario puede definirse como la función inversa del anterior.


Dispone de n entradas y 2n salidas. Atendiendo a su salida, el decodificador puede
ser activo a nivel alto (‘1’) o a nivel bajo (‘0’). Ver figura 2.

Figura 2. Diagrama lógico de un decodificador binario

La mayoría integran un dispositivo de control mediante una entrada Enable, (que


puede ser activa a niveles alto o bajo) tal que, si no está activa el decodificador no
se realiza su función. También puede requerirse una combinación de ellos, a modo
de clave. También existen decodificadores para los que a partir de las diferentes
entradas posibles se active más de una salida. Estos son los llamados
‘decodificadores-excitadores’. Hay otros tipos de decodificadores para propósitos
específicos, como podría ser un decodificador-excitador de siete segmentos,
destinado a excitar los segmentos de un display, a partir del código BCD o binario.
Ver figura 3 Son capaces de proporcionar corriente (salidas activas a nivel alto) o
absorberla (salidas activas a nivel bajo). Así, las salidas activas se combinan para
encender determinados LED, que representarán el dígito pedido
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 3. Diagrama lógico de un decodificador BCD a 7segmentos y diagrama del


display de 7segmentos

Multiplexores

Un multiplexor es un circuito digital que selecciona una de entre varias entradas de


datos Ii y lleva su valor lógico a la única salida Z del circuito. La selección de los
datos se realiza mediante una o varias entradas de control Sj. La codificación binaria
resultante de las entradas S indica el índice de la entrada I que pasa a la salida.
Existiendo una entrada de habilitación (enable), la cual pone en funcionamiento el
circuito y trabaja en bajo activo.

El 74158 es un multiplexor de cuatro dispositivos 2 a 1 en un mismo encapsulado,


en el esquema presentado en la fig. 4, se puede apreciar que existen dos entradas
posibles y el valor que tenga la salida depende de la señal de control SE1

Figura 4. Esquema básico del funcionamiento de un multiplexor de dos entradas


U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Los multiplexores también pueden ser utilizados para implementar funciones


lógicas, donde las entradas de control equivalen a las combinaciones binarias de la
función, las entradas de datos a los valores que toma la salida y que serán
reproducidos en la salida por cada combinación.

La combinación de más de un dispositivo puede ampliar tanto las entradas de datos


como las de control, más sin embargo, la salida se mantiene única. Si se amplían
las entradas antes señaladas se combinan las salidas para garantizar que la
ampliación tenga una única salida.

Generalmente se combinan con decodificadores binarios para implementar tales


ampliaciones

Demultiplexores Binarios

Un Demultiplexor es la inversa del multiplexor, con una entrada, 2n salidas y n


entradas de control, siendo la salida aplicada por el código igual a la entrada,
quedando el resto de salidas en reposo. Un demultiplexor es lo mismo que un
decodificador con entrada Enable

Competencias
Comprueba las diferencias entre los codificadores en estudio
Verifica la versatilidad de los circuitos MSI (decodificadores binarios y multiplexores) para
implementar funciones lógicas
Identificar la diversidad de Decodificadores

Componentes
Codificador 74LS147 y 74LS148
Decodificador-demultiplexor 74LS139
Decodificador BCD a 7segment 74LS47
Display de 7segment Ánodo común
Multiplexor 74LS151 o equivalente
4 Dipswitch de cuatro posiciones o dos de ocho
Cable de conexionado (cable UTP)
Diodos Leds
Resistencias de 220Ω y compuertas requeridas según los diseños
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

PROCEDIMIENTO

Codificadores de Prioridad

Investigue el funcionamiento del codificador BCD 74LS147 y sus características.

El codificador BCD 74LS147 es un circuito integrado que convierte las entradas


de datos en un código de salida BCD (Decimal Codificado en Binario).

Funcionamiento:

El codificador BCD 74LS147 convierte los datos de entrada en un código BCD de


4 bits que representa el dígito decimal correspondiente a la entrada. Las entradas
D0-D9 se activan mediante un nivel alto o bajo, y el codificador realiza la
conversión a BCD. La salida de código BCD se activa cuando se habilita el pin
Enable con un nivel bajo. El 74LS147 tiene una tabla de verdad que especifica la
relación entre las entradas de datos y las salidas de código BCD. Cada
combinación de entradas produce una salida única de código BCD
correspondiente al dígito decimal adecuado.

Si dos o más entradas están activas al mismo tiempo, el 74LS147 prioriza la


entrada de mayor valor decimal y la convierte en el código BCD correspondiente.

El codificador BCD 74LS147 se utiliza comúnmente en aplicaciones donde se


necesita convertir datos de entrada en un formato decimal para su posterior
procesamiento, como en sistemas de medición y control. También se puede
utilizar en sistemas de visualización de números, como pantallas de 7
segmentos, para mostrar los dígitos decimales en una forma legible para el
usuario.
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Tabla 1.1 Tabla de verdad 74LS147

Figura 1.1 Diagrama de conexiones 74LS147


Características:

• El 74LS147 es un codificador BCD de 10 líneas a 4 bits con una entrada de


habilitación activa en bajo (Enable).
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

• Tiene 10 entradas de datos (D0-D9) y 4 salidas de código BCD (A, B, C y D).


• Las salidas A, B, C y D son compatibles con TTL y pueden conectarse
directamente a otros circuitos digitales.

Emplee el codificador binario 74LS147 para realizar lo siguiente:

1. Active dos entradas a la vez y observe la salida, qué sucede, anote lo


observado

Para esta experiencia se realizó el siguiente circuito, el cual es un codificador


BCD de 10 líneas a 4 con prioridad, conectado a un CI 74LS47, el cual es un
decodificador de BCD a 7 segmentos, y este con sus salidas conectadas a un
display. La entrada y salida del CI74LS147 son activa en bajo.

Figura 1.2 Diagrama de circuito 1.

Seguidamente, se procede a energizar el circuito, donde podemos observar


todas las entradas en estado lógico H, obteniendo de salida del 74LS147 en
estado lógico HHHH, provocando una entrada en el 74LS47 de LLLL, y
podemos observar el valor respectivo decidmal en el display, el número 0.
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 1.3 Montaje del circuito 1.

Ahora, se activaron dos entradas a la vez, la entrada 4 y la entrada 5 presentan


un valor lógico bajo, por lo cual ambas entradas están activas. Al observar la
salida observamos en el display el numero 5, lo cual nos indica una salida
lógica de CI74LS147 de HLHL. Entonces, podemos deducir que el presente
circuito cumple con su característica de prioridad, ya que al estar 4 y 5
activados, ignora la entrada con un nivel de prioridad más bajo y toma la
entrada con un nivel de prioridad más alto.
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 1.4 Entrada 1 y 13 activadas a la vez.

2. ¿Qué sucede cuando todas las entradas están a nivel lógico alto?

En la figura 3 podemos observar el comportamiento del circuito cuando todas


las entradas están a un nivel lógico alto. Al ocurrir esto, tendremos que las
salidas del CI 74LS147 están en un nivel lógico alto, lo cual es lo que se espera
en base a la tabla de verdad mostrada en la tabla 1.

3. ¿Este dispositivo requiere de un habilitador para su funcionamiento? Explique

No requiere de un habilitador, debido a que su función principal es convertir


una entrada de datos en una salida de código BCD (decimal codificado en
binario) correspondiente.
ARREGLAR ESQUEMATICO

Analice los resultados.


U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Se pudo comprobar el funcionamiento del CI 74LS147, el cual nos dará como


salida el código BCD negado de su entrada decimal correspondiente. Se verificó la
característica de prioridad de este integrado, así como también su comportamiento
cuando ninguna entrada está activa.

Diseñe un codificador de 16 entradas empleando el 74LS148, cual es la función


de los pines Eo y GS, en esta ampliación.

Para esta experiencia se realizó el siguiente circuito, el cual es un codificador BCDde


10 líneas a 4 con prioridad. Este consta de dos codificadores 74LS148, los cuales
son codificadores de 8 a 3, además, tres compuertas lógicas AND, empleadas por
el CI 74LS08, el cual nos permite tener una salida de 4 bits, la cual está representada
con diodos LEDs. La entrada y salida de este circuito es activa en bajo.

Por otro lado, la salida de EO de U2 está conectada a la entrada habilitadora de U1.


Esto se hace con la finalidad de crear una característica de prioridad entre los dos
integrados. La salida EO de U2 estará en estado lógico bajo siempre que ninguna de
las entradas de dicho integrado esté activada, logrando así habilitar el integrado U1,
una vez alguna de las entradas de U2 esté activa, EO de U2 se colocará en un nivel
lógico alto, inhabilitando el integrado U1, logrando ignorar cualquier entrada que
exista en este.

Ahora, la salida de GS estará en un nivel alto siempre que ninguna de las entradas
de dicho integrado esté activada. Debido a que el integrado U2 estará manejando
las entradas 8 – 15, su representación binaria negada siempre tendrá el diodo D1
apagado, mientras que cuando se esté manejando los números del 0 – 7, el
integrado U2 tendrá su salida GS en alto, ya que ninguna de sus entradas estará
activada, haciendo así que el diodo LED D1 esté encendido, lo cual es el
comportamiento necesario para representar cualquier numero de 0 – 7 en su
equivalencia en binario negado.
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 1.5. Diagrama de circuito diseño 1 codificador 16 a 4.


15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 A B C D
1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1
1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 0
1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 0 1
1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 0 0
1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 0 1 1
1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 0 1 0
1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 0 0 1
1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 0 0
1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1
1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 0 1 1 0
1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 0 1 0 1
1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 0 1 0 0
1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 0 0 1 1
1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 1 0
1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 1
0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0

Tabla 1.2 Tabla de verdad de codificador 16 a 4


U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 1.6. Montaje del circuito diseño 1.

Seguidamente, se procede a energizar el circuito, donde podemos observar


todas las entradas en estado lógico H y todos los LEDs encendidos,
mostrando una salida HHHH.
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 1.7 Circuito diseño 1 energizado.

Ahora, procedemos a activar la entrada 1, colocando esta en un nivel bajo y las


demás en un nivel lógico alto. Podemos observar que los diodos LEDs D1, D2 y D3,
representando la salida B, C y D respectivamente, están encendidos, mientras que
el diodo D4, representando la salida A, está apagado. Así que, tenemos una salida
HHHL, lo cual es el negado de el numero binario correspondiente a 1.
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 1.8. Salida de diseño 1 con entrada 1.

Ahora, se activaron dos entradas a la vez, la entrada 1 y la entrada 13


presentan un valor lógico bajo, por lo cual ambas entradas están activas. Al
observar la salida observamos que el LED D3 está encendido, mientras que
los LEDs D2, D1 y D4 están apagados, lo cual nos muestra una salida lógica
de LLHL, el cual, podemos representar como el numero binario 1101, el cual
es el equivalente a el número 13 en decimal. Entonces, podemos deducir que
el presente circuito cumple con su característica de prioridad, ya que al estar
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

1 y 13 activados, ignora la entrada con un nivel de prioridad más bajo y toma


la entrada con un nivel de prioridad más alto.

Figura 1.8. Entrada 1 y 13 activadas a la vez.

Señala las diferencias fundamentales entre los dos dispositivos estudiados.

Los circuitos integrados 74LS147 y 74LS148 son codificadores TTL que están
compuestos de combinaciones de distintas compuertas lógicas. Las diferencias
principales de estos integrados son:
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

• El 74LS148 tiene una entrada habilitadora (EI) y una salida (GS).


• El CI 74LS147 tiene 10 entradas, mientras que el CI 74LS148
tiene 8 entradas.
• El CI 74LS147 tiene 4 salidas, mientras que el CI 74LS148 tiene
3 salidas.
• El CI 74LS148 tiene un habilitador en cada entrada, lo que
significa que la salida correspondiente solo se activará si la entrada está
habilitada. El CI 74LS147 no tiene un habilitador porque su función es
simplemente decodificar la señal de entrada y generar una salida
correspondiente, independientemente de si la entrada está habilitada o
no.

Decodificadores binarios y BCD a 7segment

Investigue el data sheet de los decodificadores 74LS138, 74LS139 y 74LS47

1. Empleando el decodificador-demultiplexor 74LS139 implementar un


decodificador de 4 a 16. Emplee sólo decodificadores para ejecutar el circuito

Debido a que no se pudo adquirir el CI74LS139, se utilizó el 74LS138 como


reemplazo, utilizando solo dos de sus entradas y 4 de sus salidas. El circuito
diseñado se muestra en la figura siguiente, tiene entradas en alto y salidas en
bajo.
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 2.1 Diagrama de diseño circuito 2.

A B C D 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0
0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1
0 0 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1
0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1
0 1 0 0 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1
0 1 0 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1
0 1 1 0 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1
0 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1
1 0 0 0 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1
1 0 0 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1
1 0 1 0 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1
1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1
1 1 0 0 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1
1 1 0 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1
1 1 1 0 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
Tabla 2.1 Tabla de verdad diseño 2 decodificador 4 a 16.
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 2.2 Montaje de circuito diseño 2.

Se procedió a energizar el circuito, manteniendo todas las entradas en un nivel


lógico bajo, observando que el diodo D1 está apagado y los demás
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

encendidos, así que tenemos la salida 0 correspondiente al numero binario


0000.

Figura 2.3 Salida del diseño 2 para una entrada LLLL.

Seguidamente, se procede a ingresar un valor de entrada de LLLH y HLLL, y


se muestra su salida en las figuras 2.4 y 2.5 respectivamente. Podemos
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

observar que para cada entrada la salida es la esperada por las


especificaciones de la tabla 2.1.

Figura 2.4 entrada LLLH


U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 2.4 entrada HLLL

2. Combine el codificador de BCD (74LS147) con el decodificador de BCD a 7-


segment (74LS47), para visualizar en un display los números Decimales del 0
al 9
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Para este circuito se realizó el circuito cuyo diagrama se muestra en la


figura 1.2 y montaje en la figura 1.3.

Seguidamente se procede a activar la entrada 1, lo cual ocasiona que en el


display se muestre el numero 1.

Figura 2.5 Salida del display para la entrada 1 activa.

3. Diseñe un circuito con decodificadores binarios para simular una función


lógica de su preferencia (minitérminos o maxitérminos)

Se decidió utilizar la siguiente función:

F = (A*B)’ + (C*D)
La cual su expresión en una multiplicación de maxitérminos es:
F = (D +C + B’ + A’)*(D + C’ + B’ + A’)*(D’ + C + B’ + A’)

La cual tiene la siguiente tabla de tabla.

D C B A F
0 0 0 0 1
0 0 0 1 1
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

0 0 1 0 1
0 0 1 1 0
0 1 0 0 1
0 1 0 1 1
0 1 1 0 1
0 1 1 1 0
1 0 0 0 1
1 0 0 1 1
1 0 1 0 1
1 0 1 1 0
1 1 0 0 1
1 1 0 1 1
1 1 1 0 1
1 1 1 1 1
Tabla 2.2 Tabla de verdad de la función F

La cual tendrá una salida en alto, excepto cuando A y B están en alto, y C o


D no lo estén.

Primeramente, se utilizó un decodificador (U6) para simular la compuerta


NAND, 3 decodificadores (U5, U2, U3) para simular la compuerta AND y un
decodificador (U1) para simular la compuerta OR, teniendo un diagrama
circuital de la siguiente forma.
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 2.6 Diagrama circuital del diseño 3.

Seguidamente, procedemos a energizar el circuito, como se ve en la figura


2.7, al tener una entrada 0000, tenemos una salida de 1 mostrada en el LED
encendido.

Figura 2.7 Montaje diseño 3.

Seguidamente, se procede a ingresar los valores 0011, 0111, 1011 y 1111,


cuya salida se muestran en las siguientes figuras respectivamente.
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 2.8 Salida del diseño 3 para una entrada 0011


U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 2.9 Salida del diseño 3 para una entrada 0111


U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 2.10 Salida del diseño 3 para una entrada 1011


U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 2.11 Salida del diseño 3 para una entrada 1111

Podemos observar que el circuito se comporta como lo especificado en la


tabla 2.2.

Por otro lado, observamos que para este diseño se están utilizando 5 CI, el
circuito es más complicado y usa más compuertas que simplemente utilizar
las compuertas lógicas correspondientes para la función. Así, se decide
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

hacer otro diseño, en el cual no se simularán las compuertas individualmente


con decodificadores, sino se simulará la función en general con
decodificadores. El diseño se muestra en la siguiente figura.

Figura 2.12 Diseño 4 función con decodificadores.

Seguidamente, se muestra el montaje de dicho circuito, y su comportamiento


para las entradas 0000, 0011, 0111, 1011 y 1111.
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 2.13 Salida del diseño 4 para una entrada 0000


U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 2.14 Salida del diseño 4 para una entrada 0011


U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 2.15 Salida del diseño 4 para una entrada 0111


U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 2.16 Salida del diseño 4 para una entrada 1011


U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 2.17 Salida del diseño 4 para una entrada 1111


U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Observamos que este diseño se comporta exactamente como está indicado


por la tabla 2.2, por lo cual, este circuito es equivalente a la función elegida.

Analice los resultados

Se pudo observar que es posible simular funciones lógicas con el uso de


decodificadores, además, se determinó la forma correcta de simular dichas
funciones. Observamos que, el simular compuertas individualmente para una
función no es un método eficiente, debido a que se termina usando más compuertas
y teniendo un circuito más complicado que su original. Sin embargo, realizando la
simulación de la función de una manera general, se observó que se utilizó la misma
cantidad de compuertas que se pudo haber utilizado en el circuito original, y además
se tiene un circuito más simple. Podemos concluir que simular funciones lógicas
con decodificadores es un buen método para reducir el uso de compuertas y realizar
circuitos más simples, siempre y cuando se haga de la manera correcta.

Multiplexores

Investigue el data sheet del multiplexor 74LS151

Figura 3.1 Diagrama de conexiones de cada pin del 74LS151.


U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 3.2 Tabla de verdad del 74LS151.

Con multiplexores 74LS151, implemente un multiplexor de 16 a 1, emplee


decodificadores binarios para habilitar cada módulo multiplexor.
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 3.3 Diseño del montaje 5

Diseñe un circuito con multiplexores para implementar la siguiente función lógica

En el diseño del montaje 5 conectamos el 74LS138 para que actuara como un


74LS139. El primer dipswitch (del medio) representa las cuatro entradas, con el bit
más significativo conectado a la entrada del decodificador y cada una de las otras
entradas en el mismo orden a las líneas de selección del 74LS151. La salida del
74LS138 se conectan a los habilitadores de los 74LS151, por lo que solo uno estará
habilitado, el de la parte inferior representa los 8 bits más significativos mientras
que el de la superior representa los 8 bits menos significativos. Las entradas del
74LS151 están controladas por dos dipswitch independientes de cada uno y las
salidas del 74LS151 están conectadas a una compuerta OR para generar una única
salida obteniendo así un multiplexor de 16 a 1.
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 3.4 Montaje 5

Alimentamos el montaje 5 para estudiar su comportamiento, se observa


satisfactoriamente que con 16 entradas en un multiplexor obtuvimos una sola
salida, correspondiente a la entrada disparada por el selector de líneas del
multiplexor que son controladas por el dip switch de las cuatro entradas, además,
se prueba, ya que hay una línea de entrada activa, al cambiar su valor lógico, la salida
también cambia, ya que la salida representa solo el valor lógico de esta entrada,
cumpliendo bien su función.
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 3.5 Montaje 5 con entrada en 5 en binaria, salida en alto

Figura 3.6 Montaje 5 con entrada en 4 en binario, salida en bajo

Circuito que determina los números que contienen dos unos o más en las
combinaciones de números de 0 al 15 (grupo 4)
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

El circuito debe ser implementado con un solo multiplexor de 8 a 1

Desarrollar el método que mejor convenga

X3 X2 X1 X0 S
0 0 0 0 0
0 0 0 1 0
0 0 1 0 0
0 0 1 1 1
0 1 0 0 0
0 1 0 1 1
0 1 1 0 1
0 1 1 1 1
1 0 0 0 0
1 0 0 1 1
1 0 1 0 1
1 0 1 1 1
1 1 0 0 1
1 1 0 1 1
1 1 1 0 1
1 1 1 1 1
Figura 3.7 Tabla de verdad de función lógica con multiplexor 8 a 1.

00 01 11 10
X1X0
X3X2
00 0 0 1 0
01 0 1 1 1
11 1 1 1 1
10 0 1 1 1
Figura 3.8 Mapa K del diseño 6.
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

A partir del Mapa K tomando los 1 de la función obtenemos:

F=X3X2+X1X0+X2X0+X3X0+X2X1+X3X1

Si observamos la figura 3.7 en detalle, se puede ver que hay valores de S que
dependen de X3, es decir, tienen los mismos valores para X2, X1 y X0, entonces la
salida será igual a la entrada X3, lo que nos permite simplificar la tabla de la manera
correcta.

X2 X1 X0 S
0 0 0 0
0 0 1 X3
0 1 0 X3
0 1 1 1
1 0 0 X3
1 0 1 1
1 1 0 1
1 1 1 1
Figura 3.9 Tabla de verdad reducida de la función lógica.

Si comparamos las tablas de la figura 3.9 con la tabla de la figura 3.7, podemos
comprobar si se respetan todos los valores de S. Cabe señalar que esta reducción
es necesaria para el diseño ya que solo podemos trabajar con 8 en 8.1 multiplexores,
anteriormente teníamos 16 entradas en nuestra tabla de verdad, pero con la
reducción solo 8 entradas nos permiten trabajar correctamente con multiplexores;
era necesario lograr cierta similitud en la tabla de verdad original que permitiera
reducirla con éxito a 8 entradas.
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 3.10 Diseño del montaje 6

Para esta combinación se dispone de un dipswitch con 4 líneas de entrada, yendo el bit más
significativo a las líneas de entrada del multiplexor según sea el caso, y las demás líneas del dipswitch
yendo a las líneas seleccionadas según el caso en el mismo orden. Los valores lógicos conectados a
las entradas del multiplexor son los mismos que se obtienen en la salida S de la tabla de verdad
reducida. La salida está conectada a un LED para facilitar el seguimiento del funcionamiento del
dispositivo.

Figura 3.11 montaje 6 entradas 0000


U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 3.12 montaje 6 entradas 0001

Figura 3.13 montaje 6 entradas 0101


U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Figura 3.14 montaje 6 entradas 1100

Se implementa el montaje 6 donde el led superior indica la salida en 1 lógico y el led


inferior indica la salida en 0 lógico. Se pone en funcionamiento cuando se prueban
inicialmente los valores de la tabla de verdad de 16 entradas, con resultados
positivos. Cada led enciende la tabla de salida correspondiente, cabe señalar que
para el diseño se utiliza la tabla reducida, sin embargo, al verificar el funcionamiento
se debe utilizar la tabla original para evitar confusiones.

CONCLUSIONES

Probamos el funcionamiento de diferentes codificadores, observando así distintas


diferencias entre ellos, además de su propiedad de prioridad en las entradas que no
importa cuantas estén activas, entonces siempre prevalecerá la entrada de mayor
rango. Con estos codificadores se puede observar el funcionamiento de un display
de 7 segmentos con ánodo común, donde se armó un circuito el cual nunca dará un
valor mayor a 9 decimales en la salida del display, permitiendo que este funcione
correctamente.
U
N UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA
E ANTONIO JOSÉ DE SUCRE
X VICE-RECTORADO BARQUISIMETO
P DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA
O

Pudimos observar una variedad de decodificadores binarios, donde con las


conexiones adecuadas pueden comportarse como el decodificador que queramos,
en nuestro caso tenemos el decodificador 3 al 8 y se conecta para que se comporte
como un decodificador 2 a 4, se comprobó la versatilidad del decodificador ya que
nos permite implementar una función lógica, por medio de un decodificador binario
se puede representar exitosamente una función lógica, demostrando una de las
propiedades de los decodificadores. La misma propiedad que también tienen los
multiplexores, donde pudimos comprobar cómo, con el método adecuado, era
posible insertar con éxito una función lógica con 4 entradas, además de que es
posible conectarlo en cascada para obtener un mayor número de entradas.

Elaborado por

Ing. Rosa Leal

También podría gustarte