Está en la página 1de 12

UNIVERSIDAD POLITÉCNICA DE

TEXCOCO
INGENIERÍA EN ROBÓTICA

CONTADOR DE 0-F CON


FLIP FLOP
IMPLEMENTADO A
DISPLAY DE 7 SEGMENTO

MATERIA: SISTEMAS DIGITALES

GRUPO: 5MIR1
INTEGRANTES:

1.- Pérez López Eloísa


2.- Serrato Ruiz Abril Paola
3.-De León Sánchez Ángel Kristof

ASESORES:

1.- Derlis Hernández Lara

TEXCOCO, EDO. DE MEX. JULIO DEL 2023


Práctica 8: Contador de 0-F con flip flop, implementado a un display de 7
segmentos
Objetivo: Implementar un contador mediante flip flop JK para comprender como
se pueden aplicar este tipo de elementos en circuitos digitales.
Material y equipo:
Hardware Software

 Compuertas lógicas  Procesador de textos


 LEDS  S.O.
 Fuente de alimentación  Proteus
 PC
 Display catodo de 7 segmentos
Marco teórico:
1. ¿Qué es un flip flop?
Es un sistema digital conformado por compuertas lógicas básicas que tiene la
capacidad de almacenar un dato. (Como11lu & Como11lu, 2021)
Un flip-flop, también conocido como biestable, es un tipo de circuito digital que
puede almacenar y recordar un estado de salida (1 o 0) hasta que se le aplique
una señal de entrada para cambiar su estado. El flip-flop es un elemento básico en
la construcción de circuitos secuenciales y se utiliza para almacenar y transferir
datos en sistemas digitales. (Como11lu & Como11lu, 2021)
Existen varios tipos de flip-flops, pero los más comunes son los flip-flops RS, D, JK
y T. Cada tipo tiene diferentes configuraciones de entradas y salidas, pero todos
comparten la capacidad de retener su estado de salida incluso después de que la
señal de entrada haya cambiado. (Como11lu & Como11lu, 2021)
Los flip-flops se utilizan ampliamente en la electrónica digital para implementar
funciones de memoria, registro de datos, contadores y diversos elementos
secuenciales. Además, son fundamentales en el diseño de circuitos digitales más
complejos, como microprocesadores y sistemas de computadoras. (Como11lu &
Como11lu, 2021)
2. Flip flop JK
Flip-Flop J-K: El flip-flop JK lleva el nombre de Jack Kilby, un ingeniero eléctrico
que inventó los circuitos integrados. Este dispositivo es una combinación de los
dos anteriores, pero se diferencia del RS en su comportamiento al activarse
ambas entradas a la vez: Este biestable hace que su salida tenga el estado
contrario al que poseía antes de abrirse las dos entradas simultáneamente.
(Como11lu & Como11lu, 2021)

Ilustración 1 Flip flop JK (Como11lu & Como11lu, 2021)

3. ¿Qué es un contador?
El contador digital o contador electrónico es un circuito eléctrico en donde las
salidas tienen una secuencia fija en forma de bucle, es decir, que al finalizar el
conteo este automáticamente vuelve a iniciar. Se construye a partir de compuertas
lógicas y flip flops. (Euroinnova Formación, 2023)
Los flip flops son conectados en forma de cascada, con el fin de que en cada
modificación de estado se dé un nuevo valor en sus salidas. Estos cambios de
estados son lo que permiten que exista un conteo. (Euroinnova Formación, 2023)
La cantidad de flip flops que haya, indicará la escala de conteo que pueda ofrecer.
En otras palabras, indicará cuantos conteos podrá realizar el contador.
(Euroinnova Formación, 2023)
Estas secuencias ocurren en un intervalo de tiempo llamados pulsos de entrada.
Estos pulsos pueden ser señales de reloj u originarse en una fuente externa,
generando intervalos de tiempo fijos o aleatorios. (Euroinnova Formación, 2023)
4. Diseño de un contador con flip flop
Flip flop JK: Las entradas J y K son entradas de datos, y la entrada de reloj
transfiere el dato de las entradas a las salidas.

A continuación, veremos la tabla de la verdad del flip-flop JK: (FLIP, s. f.)

J K CLK Q̥
0 0 ↑ Q⁰ (sin cambio)
1 0 ↑ 1
0 1 ↑ 0
1 1 ↑ Q⁰¯(cambios)

Ilustración 2 JK (FLIP, s. f.)

Las antiguas puertas AND de dos entradas han sido reemplazadas por puertas
AND de 3 entradas, y la tercera entrada de cada puerta recibe retroalimentación
de las salidas Q y no-Q. Lo que esto hace para nosotros es permitir que la entrada
J tenga efecto solo cuando se reinicie el circuito, y permitir que la entrada K tenga
efecto solo cuando el circuito esté configurado. Es decir, las dos entradas están
entrelazadas, para usar un término lógico de relé, de manera que ambas no
pueden activarse simultáneamente. Si el circuito está “ajustado”, la entrada J es
inhibida por el estado 0 de no-Q a través de la puerta AND inferior; si el circuito es
“reset”, la entrada K es inhibida por el estado 0 de Q a través de la puerta AND
superior. (Libretexts, 2022)
Cuando ambas entradas J y K son 1, sin embargo, sucede algo único. Debido a la
acción inhibidora selectiva de esas puertas AND de 3 entradas, un estado “set”
inhibe la entrada J de manera que el flip-flop actúa como si J=0 mientras que K=1
cuando en realidad ambos son 1. En el siguiente pulso de reloj, las salidas
conmutarán (“toggle”) de set (Q=1 y not-Q=0) a reset (Q=0 y not-Q=1). “Por el
contrario, un estado “" reset "” inhibe la entrada K de manera que el flip-flop actúa
como si J=1 y K=0 cuando en realidad ambos son 1.” El siguiente pulso de reloj
conmuta de nuevo el circuito de reset a set. (Libretexts, 2022)
5. Diseño de un contador con flip flop
Para diseñar un contador con flip flops, se necesita primero realizar el contador en
el software de proteus para verificar que funcione antes de armar un circuito en
físico. Después, se debe realizar el circuito en proteus de un flip flop como por
ejemplo el JK y se procede a sacar su tabla de verdad para hacer las conexiones
correctas y comprobar que sirva.
J K CLK Q̥
0 0 ↑ Q⁰ (sin cambio)
1 0 ↑ 1
0 1 ↑ 0
1 1 ↑ Q⁰¯(cambios)
Después se encapsula al igual que el contador y se procede a realizar las
conexiones de los LEDS y el display de 7 segmentos que es el que mostrará la
señal con números o letras.
Conexión del flip-flop con contador:
Se conectan las salidas Q de cada flip-flop a las entradas de reloj (CLK) de los flip-
flops siguientes en orden ascendente.
La entrada de reloj (CLK) del primer flip-flop se conecta a la señal de reloj del
sistema. Las entradas de reloj de los flip-flops restantes se conectan a las salidas
de las compuertas AND.
Se utilizan compuertas AND de 2 entradas para generar las señales de reloj para
los flip-flops. La entrada de la compuerta AND para el flip flop se conecta a la
salida de otro flip flop (Q0) y la señal de reloj del sistema. La entrada de la
compuerta AND para otro flip flop que se conecta a la salida del segundo flip flop
(Q1) y la salida del primer flip flop (Q0). La entrada de la compuerta AND para un
cuarto ff se conecta a la salida del ff3 (Q2), la salida de ff2 (Q1) y la salida de ff1
(Q0).
Para cada flip-flop JK, las entradas J y K se conectan de la siguiente manera:
La entrada J se conecta a la salida del flip-flop anterior en orden ascendente
La entrada K se conecta a una señal constante, generalmente a Vcc para obtener
un contador ascendente.
6. Aplicación de los flip flops en la vida real
Los flip-flops son circuitos electrónicos básicos que se utilizan para almacenar y
manipular información digital. Son componentes fundamentales en la electrónica
digital y se encuentran en una amplia variedad de aplicaciones en la vida real.
Algunos ejemplos son:
 Memoria de computadoras: Los flip-flops se utilizan en la construcción de la
memoria de acceso aleatorio (RAM) y de la memoria de solo lectura (ROM)
en los sistemas informáticos. Estas memorias se utilizan para almacenar
datos y programas de manera temporal o permanente.
 Contadores y registros: Los flip-flops se utilizan en la construcción de
contadores y registros, que son componentes esenciales en los circuitos
secuenciales. Por ejemplo, en los contadores digitales se utilizan flip-flops
para contar pulsos o eventos, y en los registros se almacena y manipula
información en paralelo.
 Control de secuencia: Los flip-flops se utilizan en sistemas de control
secuencial, como en la electrónica de automóviles, donde se pueden utilizar
para almacenar y controlar el estado de los diferentes dispositivos
electrónicos, como luces intermitentes, limpiaparabrisas o sistemas de
bloqueo.
 Circuitos de sincronización: Los flip-flops se utilizan para sincronizar
señales en circuitos digitales. En aplicaciones como la transmisión de datos
o la recepción de señales de comunicación, los flip-flops se utilizan para
asegurar que la información se transmita y reciba de manera sincronizada y
precisa.
 Control de dispositivos: Los flip-flops se utilizan en la lógica de control para
activar y desactivar dispositivos electrónicos. Por ejemplo, en un sistema de
control automático de temperatura, un flip-flop puede ser utilizado para
activar o desactivar el calentador o el enfriador según la temperatura
medida.

7. ¿Cómo diseñar el mismo contador sin un C.I. en la vida real?


Existen varias formas de diseñar un contador sin usar un circuito integrado una de
ellas es utilizando compuertas lógicas.
Materiales: resistencias, LED, interruptores, compuertas lógicas AND, OR y NOT,
fuentes de voltaje.
Conexión:
 Conecta un interruptor a la entrada de un AND.
 Conecta un interruptor a la entrada de un NOT.
 Conecta el interruptor de la entrada de NOT gate a la salida del AND gate.
 Conecta la salida del NOT gate a uno de los LED.
 Conecta la salida del AND gate a la entrada de un OR gate.
 Conecta la salida del OR gate a otro LED.
Funcionamiento: Cada vez que presionas el interruptor conectado a la entrada
del NOT, cambiará el estado del LED conectado a su salida. Cuando el interruptor
conectado a la entrada del AND se presiona y se libera, se activará el contador, y
el segundo LED conectado a la salida del OR se encenderá. El contador contará
cada vez que presionas el interruptor del NOT, y el segundo LED se encenderá
cada vez que se completa un ciclo completo de conteo.
8. Display de 7 segmentos.
El display de siete segmentos es un aparato utilizado para presentar
información de forma visual. Esta pesquisa es específicamente un dígito
decimal del 0 (cero) al 9 (nueve), por lo que se deriva que el código BCD está
involucrado. Cada segmento tiene la forma de una pequeña línea
incandescente.

Desarrollo:
a) Contador con el C.I. 74107
b) Circuito en fisico

c) Contador con decodificador


d) Contador con compuertas lógicas
e) Contador implementado en físico con el display.
Para este paso se requiere del arduino y del segmento con sus respectivas
resistencias, el arduino tiene la función de flip flop, y el display replicara lo que
dicte la programación.
Conclusiones:
Se requieren conceptos importantes sobre cómo funciona un flip-flop, esta práctica
fue sencilla en cuanto a programación, sin embargo en cuanto armarlo en físico,
fue otra tarea que requirió de conocer las compuertas lógicas a usar, así como
aprender cómo funciona los displays de 7 segmentos, para su futuro ensamble.

Referencias:
Como11lu, & Como11lu. (2021, 5 febrero). 🥇 ¿Cómo funciona un Flip Flop - Guía 100%

Práctica. flip flop. https://como-funciona.org/flip-flop/

FLIP. (s. f.). http://centros.edu.xunta.es/iesmanuelchamosolamas/electricidade/fotos/

flip.htm

Administrador. (2023, 5 junio). Flip-flop tipo D. Descripción, símbolo, tabla de verdad -

Electrónica Unicrom. Electrónica Unicrom. https://unicrom.com/flip-flop-tipo-d-

descripcion-y-simbolo/

Flip flop tipo T. (s. f.). Circuitos Secuenciales. http://circuitossecuenciales.weebly.com/flip-

flop-tipo-t.html

Euroinnova Formación. (2023). robótica infantil. Euroinnova Business School.

https://www.euroinnova.edu.es/blog/que-son-los-contadores

Libretexts. (2022). 10.6: El flip-flop J-K. LibreTexts español.

https://espanol.libretexts.org/Vocacional/Tecnolog%C3%ADa_Electr

%C3%B3nica/Libro%3A_Circuitos_El%C3%A9ctricos_IV_-

_Circuitos_Digitales_(Kuphaldt)/10%3A_Multivibradores/10.06%3A_El_flip-

flop_J-K

También podría gustarte