Está en la página 1de 116

.

FIS241
ELECTRONICA GENERAL
Apuntes de Catedra, Ver. 0.6

Ing. Pedro P. Miranda Loza


Docente Investigador
CARRERA DE FISICA
C.27 CotaCota, Campus Universitario
Tel. 2792999
email: pmiranda@fiumsa.edu.bo

diciembre-2020

Universidad Mayor de San Andrés


Facultad de Ciencias Puras y Naturales
Carrera de Fı́sica
LaPaz-Bolivia
UMSA
2 FIS241 Electrónica General
INDICACIONES
La materia esta organizada en; Teorı́a, Laboratorio y eventualmente ayudantı́a.

Teorı́a, son dos clases por semana de 2 horas cada una, en total son 36 clases durante
el semestre, incluyen exámenes.

Laboratorios, son 4 y cada uno se realiza en dos sesiones de 3 horas, en total 24 horas.

Ayudantı́as, en caso de haberse asignado un ayudante se pasa otras 2 horas semanales


de prácticas, en total suman 32 horas semestrales, de lo contrario no se realiza.

Evaluación: En un semestre regular se evalúan de la siguiente manera:

20% Teorı́a Examen 1, capı́tulos 1 y 2


20% Teorı́a Examen 2, capı́tulos 3,4 y 5
40% Informes de Laboratorio, cada 1 vale 10%
20% Examen Final incluye toda la materia

Bibliografı́a oficial, son los siguientes libros:

• 1.- Electrónica fundamental para cientı́ficos, J.J.Brophy

• 2.- Fundamentos de electrónica fı́sica y microelectrónica, Albela/Martinez-Duart

• 3.- Estudio de los circuitos eléctricos, Tomo I, Jean Lagasse

• 4.- El Presente texto, son apuntes de cátedra del docente útiles para seguir el de-
sarrollo de la materia según el contenido, no pretende sustituir a los libros oficiales
de la materia, sin embargo gracias a las ecuaciones y figuras se ha construido el
complemento con diapositivas para el desarrollo de la clase vı́a internet.

• 5. Otros textos de Ingenierı́a pueden ser consultados.

Página web de repositorio del autor Pedro P. Miranda L.


http://www.fiumsa.edu.bo/docentes/pmiranda/fis241
Pagina web de repositorio por la pandemia
https://www.fiumsa.edu.bo/docentes/?cat=
2 FIS241 Electrónica General

PROGRAMA ANALITITCO

I.- REDES
1.- Teoremas y Leyes fundamentales de las redes eléctricas (Ley de ohm, Leyes de kirchoff,
Thevenin, Norton, Sustitución), 2.- Análisis armónico (señales continuas en el tiempo),
3.- La transformada de Laplace y los circuitos transcientes (análisis con señales; impulsos,
paso y rampa), 4.- Resonancia, 5.- Excitación periódica y otros tipos, 6.-Problemas y
ejercicios.

II.- AMPLIFICADORES
1.- Semiconductores (diodo, transistor), 2.- Reguladores de voltaje (transformadores y dio-
dos), 3.- Transistores, 4.- Modelos Incrementales (EC, BC, CC), 5.- Polarización (acoplamiento
continuo y alterno), 6.- Análisis dinámico, 7.- Análisis en bajas y medias frecuencias, 8.-
Amplificador Multietapa, 9.- Problemas.

III.- AMPLIFICADORES OPERACIONALES


1.- Introducción, 2.- Inversores, 3.- Sumadores, 4.- Integrador, Derivador, 5.- Amplificador
Logarı́tmico, Antilogaritmito, 6.- Tópicos especiales, 7.- Problemas

IV.- CIRCUITOS DIGITALES COMBINACIONALES


1.- Circuitos Integrados, 2.- Compuertas digitales, 3.- Álgebra de Bool, 4.- Aritmética
Binaria, 5.- Mapas de Karnaugh, 6.- Implementación de funciones.

V.- CIRCUITOS DIGITALES SECUENCIALES


1.- Concepción del Flip Flop (FF), 2.- FF-RS ası́ncrono, 3.- FF-D, FF-T, FF-Master
Slave, 4.- FF-JK, 5.- Contadores, 6.- Problemas.

VI.- APLICACIONES
Manejo de ports (FF-D) con microcontrolador.

VII-LABORATORIOS

• 1.- Relajación exponencial, Oscilaciones Amortiguadas y Resonancia

• 2.- Amplificadores con transistores

• 3.- Amplificadores Operacionales

• 4.- Circuitos Digitales.

Informes.- Son individuales, contienen: Teorı́a, datos y gráficos de laboratorio,


análisis, conclusiones.
Contents

1 REDES 5
1.1 Introducción . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
1.1.1 Ley de Ohm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
1.1.2 Divisor de voltaje y divisor de corriente . . . . . . . . . . . . . . . . 6
1.1.3 Resistencias.- Serie, Paralelo, triangulo/estrella . . . . . . . . . . . 6
1.1.4 Fuentes de voltaje y corriente . . . . . . . . . . . . . . . . . . . . . 7
1.1.5 Puente de Weachstone . . . . . . . . . . . . . . . . . . . . . . . . . 8
1.1.6 Teoremas de Thevenin . . . . . . . . . . . . . . . . . . . . . . . . . 8
1.1.7 Leyes de Kirchoff . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
1.2 Análisis Armónico señales continuas en el tiempo . . . . . . . . . . . . . . 10
1.3 Respuesta en frecuencia . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
1.3.1 Circuito RC, RL . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
1.3.2 Circuito RLC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
1.3.3 Resonancia . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
1.4 Análisis Transciente . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
1.4.1 Circuito RC.- . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
1.4.2 Circuito RL.- . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
1.4.3 Circuito RLC.- . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
1.4.4 Ejemplo de integración . . . . . . . . . . . . . . . . . . . . . . . . . 22
1.5 Transformada de Laplace . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
1.5.1 Transformada de Laplace de las funciones usuales . . . . . . . . . . 24
1.5.2 Excitación Periódica . . . . . . . . . . . . . . . . . . . . . . . . . . 28
1.6 Graficación con Gnuplot . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31

2 SEMICONDUCTORES,
AMPLIFICADORES 35
2.1 Introducción . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35
2.2 Semiconductores . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35
2.2.1 Semiconductores tipo N y P . . . . . . . . . . . . . . . . . . . . . . 36
2.2.2 Diodo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36
2.2.3 Transistores . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37
2.3 Rectificadores . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38
2.3.1 Rectificador de media onda . . . . . . . . . . . . . . . . . . . . . . 39
2.3.2 Rectificador de onda completa . . . . . . . . . . . . . . . . . . . . . 39
2.4 Reguladores de voltaje . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40
2.4.1 Transformador . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40
2.4.2 Rectificador con transformador . . . . . . . . . . . . . . . . . . . . 41
2.4.3 Reguladores con transformador . . . . . . . . . . . . . . . . . . . . 41
2.5 Graficadores con Gnuplot . . . . . . . . . . . . . . . . . . . . . . . . . . . 45
2.5.1 Graficadores - Ejemplo 1, Rectificadores.gp . . . . . . . . . . . . . . 45
2.5.2 Graficadores - Ejemplo 2, Reguladores.gp . . . . . . . . . . . . . . . 46
2.5.3 Graficadores - Ejemplo 3, Reguladores-tau.gp . . . . . . . . . . . . 47
2.6 Polarización del Transistor NPN . . . . . . . . . . . . . . . . . . . . . . . . 48
2.7 Autopolarización . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49
2.7.1 Autopolarización estable a la temperatura . . . . . . . . . . . . . . 49
2.7.2 Autopolarización con Resistencia en emisor . . . . . . . . . . . . . . 49
2.8 Amplificación . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

3
4 FIS241 Electrónica General

2.8.1 Cuadripolos . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51
2.8.2 Amplificador simple . . . . . . . . . . . . . . . . . . . . . . . . . . . 53
2.8.3 Amplificador estable a la temperatura . . . . . . . . . . . . . . . . 54
2.8.4 Amplificador con resistencia en emisor . . . . . . . . . . . . . . . . 54
2.9 Amplificador tı́pico . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55
2.10 Amplificación Colector Común . . . . . . . . . . . . . . . . . . . . . . . . . 57
2.11 Amplificación Base Común . . . . . . . . . . . . . . . . . . . . . . . . . . . 58
2.12 Amplificación Multietapa . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59

3 AMPLIFICADORES OPERACIONALES 61
3.1 Introducción . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61
3.2 Equivalente del Amplificador Operacional . . . . . . . . . . . . . . . . . . . 61
3.2.1 Amplificador Diferencial . . . . . . . . . . . . . . . . . . . . . . . . 61
3.3 Amplificadores Operacionales . . . . . . . . . . . . . . . . . . . . . . . . . 62
3.3.1 Amplificador inversor . . . . . . . . . . . . . . . . . . . . . . . . . . 62
3.3.2 Amplificador no inversor . . . . . . . . . . . . . . . . . . . . . . . . 62
3.3.3 Amplificador restador . . . . . . . . . . . . . . . . . . . . . . . . . . 62
3.3.4 Amplificador sumador inversor . . . . . . . . . . . . . . . . . . . . . 63
3.3.5 Amplificador sumador noinversor . . . . . . . . . . . . . . . . . . . 64
3.4 Amplificador integrador/derivador . . . . . . . . . . . . . . . . . . . . . . . 65
3.4.1 Integrador con capacitor . . . . . . . . . . . . . . . . . . . . . . . . 65
3.4.2 Integrador con bobina . . . . . . . . . . . . . . . . . . . . . . . . . 66
3.4.3 Derivador con capacitor . . . . . . . . . . . . . . . . . . . . . . . . 66
3.4.4 Derivador con bobina . . . . . . . . . . . . . . . . . . . . . . . . . . 66
3.5 Amplificador Multiplicador/Divisor . . . . . . . . . . . . . . . . . . . . . . 67
3.5.1 Amplificador Logarı́tmico . . . . . . . . . . . . . . . . . . . . . . . 67
3.5.2 Amplificador Antilogaritmico . . . . . . . . . . . . . . . . . . . . . 67
3.5.3 Amplificador multiplicador . . . . . . . . . . . . . . . . . . . . . . . 67
3.5.4 Amplificador Instrumental . . . . . . . . . . . . . . . . . . . . . . . 68
3.6 Simulación de Ecuaciones Diferenciales . . . . . . . . . . . . . . . . . . . . 69
3.6.1 Ejemplo de simulación de Ecuaciones Diferenciales . . . . . . . . . . 69

4 CIRCUITOS DIGITALES COMBINACIONALES 71


4.1 Introducción . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71
4.2 Transistor Corte/Saturación . . . . . . . . . . . . . . . . . . . . . . . . . . 71
4.2.1 Circuito NAND y AND con transistores . . . . . . . . . . . . . . . 72
4.2.2 Circuito NOR y OR con transistores . . . . . . . . . . . . . . . . . 72
4.2.3 Compuertas Lógicas . . . . . . . . . . . . . . . . . . . . . . . . . . 73
4.3 Lógica Combinacional . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73
4.4 Números Binarios . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74
4.4.1 Numero Hexadecimal . . . . . . . . . . . . . . . . . . . . . . . . . . 75
4.4.2 Aritmética binaria . . . . . . . . . . . . . . . . . . . . . . . . . . . 76
4.4.3 Código Ascii . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76
4.5 Mapas de Karnaugh . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

5 CIRCUITOS DIGITALES SECUENCIALES 83


5.1 FlipFlop SR y FlipFlop JK . . . . . . . . . . . . . . . . . . . . . . . . . . . 83
5.1.1 Flip Flop SR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83
5.1.2 Flip Flop JK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84
P. Miranda 5

5.2 REGISTROS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86
5.2.1 FF D.- . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86
5.2.2 FFT.- . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86

6 APLICACIONES 89
6.1 Microcontroladores básicos . . . . . . . . . . . . . . . . . . . . . . . . . . . 90
6.1.1 Arduino Uno.- . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91
6.2 Manejo de puertos . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92
6.3 EJEMPLOS DIGITAL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93
6.3.1 Introducción . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93
6.3.2 Display 7 segmentos . . . . . . . . . . . . . . . . . . . . . . . . . . 93
6.3.3 Leds en Paralelo palabra FISICA . . . . . . . . . . . . . . . . . . . 96
6.3.4 Manejo de leds FISICA . . . . . . . . . . . . . . . . . . . . . . . . . 97

7 LABORATORIO 101
7.1 LABORATORIO 1.
Relajación exponencial, Oscilaciones Amortiguadas y Resonancia . . . . . . 102
7.1.1 RELAJACION EXPONENCIAL . . . . . . . . . . . . . . . . . . . 102
7.1.2 OSCILACIONES AMORTIGUADAS . . . . . . . . . . . . . . . . . 102
7.1.3 RESONANCIA, Espectro de frecuencia . . . . . . . . . . . . . . . . 102
7.1.4 Informe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103
7.2 LABORATORIO 2.
Reguladores y Amplificadores con transistores . . . . . . . . . . . . . . . . 104
7.2.1 Rectificadores.- . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104
7.2.2 Reguladores de voltage.- . . . . . . . . . . . . . . . . . . . . . . . . 104
7.2.3 Polarización y Amplificación . . . . . . . . . . . . . . . . . . . . . . 104
7.2.4 Amplificación Multietapa.- . . . . . . . . . . . . . . . . . . . . . . . 104
7.3 LABORATORIO 3.
Amplificadores Operacionales . . . . . . . . . . . . . . . . . . . . . . . . . 106
7.3.1 Objetivos . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106
7.3.2 Amplificador . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106
7.3.3 Oscilador de onda cuadrada . . . . . . . . . . . . . . . . . . . . . . 106
7.3.4 Simulador . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106
7.3.5 Solución Ecuaciones Diferenciales . . . . . . . . . . . . . . . . . . . 106
7.3.6 Opcional . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106
7.3.7 Informe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106
7.4 LABORATORIO 4, Digital . . . . . . . . . . . . . . . . . . . . . . . . . . 107
7.4.1 Simulación Semaforos . . . . . . . . . . . . . . . . . . . . . . . . . . 107
7.4.2 Manejo Matriz de leds 8x8 . . . . . . . . . . . . . . . . . . . . . . . 107
7.4.3 Movimiento de vehı́culo . . . . . . . . . . . . . . . . . . . . . . . . 107
7.4.4 Informe.- . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108
7.4.5 Apendice A, Programas . . . . . . . . . . . . . . . . . . . . . . . . 109
7.4.6 Guia de Laboratorio 4 . . . . . . . . . . . . . . . . . . . . . . . . . 110
6 FIS241 Electrónica General
Chapter 1

REDES
1.1 Introducción
Redes, es el estudio de flujo de corriente eléctrica a través de los componentes resistivos
(R), el capacitor (C) y la bobina (L), se excita con una fuente denominada generador de
voltaje y se miden las corrientes y los voltajes. Para encarar cualquier circuito existen los
teoremas y leyes fundamentales de las redes eléctricas.

Figure 1.1: Elementos del tema; fuentes de voltaje, Resistencia, capacitor, bobina

1.1.1 Ley de Ohm


Es la relación (postulada por el fı́sico matemático alemán George Simon Ohm) para una
corriente que fluye por un conductor.

V =R·I (1.1)

Ley de Hom
Figure 1.2: Flujo de la corriente I

V es la diferencia de voltaje entre los extremos del conductor medida en voltios (V)
R es la resistencia del conductor en Ohmios ( Ω )
I es la corriente que circula en Amperios (A)
La resistencia R fisicamente esta relacionado:
l
R=ρ· (1.2)
A
Asumiendo que el conductor es un cables; ρ es la resistividad del material
l es la longitud del cable
A es la sección del cable
De forma similar la conductancia es la inversa de la resistencia
1 A
g= g=σ (1.3)
R l
siendo σ la conductividad del conductor o componente eléctrico, valor importante que
determina cuan conductor es.
La corriente I que fluye es la relación de carga eléctrica en un determinado tiempo.
dQ
I= (1.4)
dt
La convención de corriente entre dos puntos se realizará de la forma:

7
8 FIS241 Electrónica General

V ab = V a − V b
I = V a−V
R
b

Figure 1.3: Convención según la dirección de corriente

1.1.2 Divisor de voltaje y divisor de corriente


Divisor de Voltaje.- Es la medida del voltaje sobre la resistencia R2

R2
eR2 = E eR2 = αE (1.5)
R1 + R2

Figure 1.4: Divisor de voltaje

Divisor de Corriente.- Es la corriente I2 que circula por R2.

g2
IR2 = I IR2 = αI (1.6)
g1 + g2
Figure 1.5: Divisor de Corriente

1.1.3 Resistencias.- Serie, Paralelo, triangulo/estrella


Resistencias en Serie.- es el equivalente R

R = R1 + R2 + R3 + R4 (1.7)

Resistencias en paralelo.- Comparando con la ecuación anterior, G es la conductancia


o el equivalente inverso de R.

g = g1 + g2 + g3 + g4 (1.8)
P. Miranda 9

Triangulo/estrella y estrella/triángulo
En todo circuito a reducir, la conversión de estrella a triángulo y de triangulo a estrella
es una alternativa util, en la figura 1.6

Figure 1.6: Circuitos en estrella y circuito en triangulo

Las ecuaciones son:


De triángulo a estrella:

ZAB ZAC ZAB ZBC ZBC ZAC


ZA = P ; ZB = P ; ZC = P (1.9)
Zij Zij Zij
De estrella a triángulo:
P P P
Zi Zj Zi Zj Zi Zj
ZAB = ; ZBC = ; ZAC = (1.10)
ZC ZA ZB

Caso particular Cuando todas las resistencias son iguales y valen Z, tenemos:

Z
ZA = y ZAB = 3Z
3

1.1.4 Fuentes de voltaje y corriente


Fuente ideal.- Las fuentes de corriente ideales, de voltaje su resistencia interna Rs es
igual a cero, en la de corriente su resistencia en paralelo es infinita, de modo lque la única
forma de conexión son los de la figura 1.7, no habiendo fuentes de voltaje en paralelo, ni
fuentes de corriente en serie.

Figure 1.7: Fuentes ideales de voltaje y corriente

Fuentes reales.- Las fuentes reales siempre tienen su resistencia interna, la fuente de
voltaje tiene resistencia baja Rs en serie, la fuente de corriente tiene resistencia alta en
paralelo como se observa en la figura 1.8.
10 FIS241 Electrónica General

Figure 1.8: Fuentes reales de voltaje y de corriente

Teorema de Millman Con fuentes reales es posible simplificar varias fuentes en serie
con sus resistencias internas o externas, y reducir a una sola fuente en serie con la única
resistencia, el teorema dice; La combinación de n fuentes reales de tensión montadas en
paralelo es equivalente a una única fuente de impedancia interna.
1 X Vn
Z=P 1 y V =Z (1.11)
Zn
Zn
En el caso de la corriente sucede lo propio, como se ve en la figura 1.9

Figure 1.9: Equivalente de fuentes reales

1.1.5 Puente de Weachstone


Es un circuito de cuatro resistencias como en la figura 1.10 utilizado como instrumento de
medida de resistencias, R1 y R2 son resistencias corrientes, R3 una resistencia desconocida
a medir su valor y R4 un potenciometro, R5 es la resistencia de un instrumento medidor
de corriente. El método consiste en variar R4 hasta lograr que la corriente I sea igual a
cero I = 0 , en este estado eb y ec son iguales. Esto permite varias facilidades aplicables
en el análisis de circuitos, el primero es la relación encontrada a continuación.

I =0
eb = ec
R1 R2
= (1.12)
R3 R4
Rad = (R1 + R3 )||(R2 + R4 )
Rad = R1 ||R2 + R3 ||R4
Figure 1.10: Puente de Weachstone

1.1.6 Teoremas de Thevenin


Norton Similar al divisor de voltaje, encuentra impedancia y voltaje formando un
dipolo en un circuito activo sin incluir el componente sobre el que se desea calcular el
P. Miranda 11

voltaje.
En el circuito 1.11 se desconecta en el punto AA’ y se encuentra VAA0 y ZAB , por tanto
la corriente será:
VAA0
I= ; ZAA0 = ZAB + Z (1.13)
ZAA0

Figure 1.11: Equivalente de Thevenin

Con el switch k abierto, se calcula VAB


Con el switch abierto se calcula ZAB considerando que las fuentes de voltaje se cortocir-
cuitan y las fuentes de corriente se abren.

Ejemplo .- Veamos el circuito1.12 (ejemplo en [3] página 46), nos ilustra en calcular la
corriente que circula por la resistencia de 4Ω .

3−1
a) I1 = = 0.5A;
4
VA − VB = 3 − 2 · I1 = 2V
VAB = 2V
b) VAC = 4V
c) ZAC = 4Ω
VAC
d) I =
ZAC + 4Ω
4
Figure 1.12: Circuito (a), (b) Voltajes ,(c) impedancias
I= = 0.5A
4+4

1.1.7 Leyes de Kirchoff


Para simplificar el análisis de circuitos de varias mallas y ramas, generalizamos usando las
leyes de Gustav Kirchhof (1846), estas igualdades són; Teorema de Nudos.- En un nudo
la suma de corrientes es cero.

ΣI = 0 (1.14)
En la práctica se considera que toda las corrientes entran al nudo.
Teorema de Tensiones en una Mallas.- En una malla la sumatoria de voltajes es cero.
ΣV = 0 (1.15)
En la práctica se considera la corriente positiva en el sentido horario y se deducen los
voltajes en cada fuente y respectivos componentes pasivos.
12 FIS241 Electrónica General

Ambas ecuaciones conducen a la ley de Ohm de forma matricial, expresando que las
corrientes y voltajes están relacionadas por la matriz conductancia y matriz resistencia.

[G][V ] = [I] [V ] = [G]−1 [I] (1.16)

[Z][I] = [V ] [I] = [Z]−1 [V ] (1.17)

Ejemplo, En el circuito formado por tres mallas fig. 1.13 , se desea obtener el voltaje
en cada componente, en consecuencia se debe encontrar las corrientes de cada malla y
luego por la ley de ohm se obtienen los voltajes, en este estado se plantean las ecuaciones
para cada malla en el sentido horario y se tienen una matriz general:

    
Z11 −Z12 −Z13 I1 V11
−Z21 Z22 −Z23  I2  = V21  (1.18)
−Z31 −Z32 Z13 I3 V31

Figure 1.13: Circuito de tres malla

Las ecuaciones de mallas serán:

−V 1 + R2 (I1 − I2 ) + R4 (I1 − I3 ) = 0
R1 I2 + V 2 + R3 (I2− I3 ) + R2 (I2 − I1 ) = 0
R4 (I3 − I1 ) + R3 ((I3 − I2 ) + R5 I3 = 0

    
R2 + R4 −R2 −R4 I1 V1
 −R2 R1 + R2 + R3 −R3  I2  = −V2 
−R4 −R3 R3 + R4 + R5 I3 0
entonces, se observa que Z11 a Z33 representa la matriz impedancia donde Z11 repre-
senta la suma de resistencias al rededor de la malla uno, Z12 representa la resistencia
común entre la malla uno y dos, etc., I1 es la corriente en la malla uno en sentido horario,
polariza a las resistencias positivo por donde entra, V11 es la suma de los voltajes en la
malla uno, en este ejemplo solo se tiene una fuente V1 .
Para encontrar las corrientes, se multiplica en ambos lados por la matriz inversa Z −
como en la ecuación 1.17 . Luego para los voltajes se multiplica por las corrientes, por
ejemplo el voltaje sobre R4, VR4 = R4 (I1 − I2 ) , por que atraviesan dos corrientes.

1.2 Análisis Armónico señales continuas en el tiempo


En un laboratorio de electrónica, es frecuente disponer de un generador de onda, este
básicamente tiene tres ondas; senoidal, cuadrada y diente de sierra, para diferenciarlos el
primero es continua en el tiempo mientras que las otras dos son discontinuas.
P. Miranda 13

Onda sinusoidal La onda senoidal de amplitud de pico Vp representamos con v(t) ó


e(t).

v(t) = Vp · cos(wt) (1.19)

Los valores continuos y alternos se obtiene

Z T
1
vdc = e(t)dt = 0 (1.20)
T 0

s Z
1 Vp
vac = vRM S = vef = e(t)2 dt = √ (1.21)
T 2

En esta ecuación para una onda senoidal se requiere recordar que cos2 (wt) = 1+cos(2wt)
2
2 1−cos(2wt)
o también sen (wt) = 2
En el caso general e(t) con nivel continuo A y dos ondas seno y coseno, esta se reduce
usando trigonometria simple (para observar la onda en un osciloscopio).

e(t) = A + a · cos(wt) + b · sen(wt) = A + c · cos(wt − φ) (1.22)

√ b
a = c · cos(φ), b = c · sen(φ), c= a2 + b 2 , φ = atan( ) (1.23)
a

Números Complejos La impedancia Z estará expresado por un numero complejo Z =


a + bj , en parte real a ( resistencia ), imaginaria b ( reactancia ).
La admitancia Y ( Y = 1/Z ) estará expresado también en numero complejo Y = c + dj
en parte real ( conductancia ) e imaginaria ( susceptancia ).
Para su tratamiento veamos un breve repaso de números complejos en tres formas forma;
Geométrica, polar y Exponencial.

Modo Geométrico

Z1 = a1 + b 1 j
Z2 = a2 + b 2 j
Z1 + Z2 = (a1 + a2 ) + (b1 + b2 )j
(1.24)
Z1 · Z2 = (a1 a2 − b1 b2 ) + (a1 b2 + a2 b1 )j
Z1 a1 + b 1 j a1 a2 + b 1 b 2 a2 b 1 − a1 b 2
= = + j
Z2 a2 + b 2 j a22 + b22 a22 + b22
14 FIS241 Electrónica General

Modo Polar

Z = a + bj
Z = |Z|6 φ Modo Exponencial
√ p
M odulo |Z| = a2 + b 2 = Z · Z ejφ = cos(φ) + sin(φ)j
Argumento φ = tg −1 ( )
b ejφ1 · ejφ2 = ej(φ1 +φ2 )
a ejφ1
Z1 + Z2 = |Z1 |6 φ1 + |Z2 |6 φ2 = ej(φ1 −φ2 )
ejφ2
Z1 · Z2 = |Z1 |6 φ1 · |Z2 |6 φ2 = |Z1 | · |Z2 |6 (φ1 + φ2 ) Z = |Z|6 φ = |Z|ejφ
Z1 |Z1 |6 φ1 |Z1 |
= = 6 (φ1 − φ2 )
Z2 |Z2 |6 φ2 |Z2 |
La fuente de excitación de voltaje de alimentación será senoidal.

v = Vp cos(wt + φ) (1.25)

Esta función es la parte real del número complejo.

v = Vp [cos(wt + φ) + jsen(wt + φ)] (1.26)

La derivada y la integral de este número complejo será.

dv
= jwVp [cos(wt + φ) + jsen(wt + φ)] = jw · v
dt
Z (1.27)
Vp v
vdt = [cos(wt + φ) + jsen(wt + φ)] =
jw jw

Para nuestros fines el manejo del numero complejo será mas útil el modo exponencial y
polar.
v = Vp [cos(φ) + jsen(φ)] = Vp ejφ = Vp 6 φ (1.28)
Como se ve el generador de onda siempre estará por la parte real.

Reactancia capacitiva e inductiva.- En los circuitos de corriente alterna y continua


en el tiempo como la senoidal, incorporamos el capacitor y la bobina, estos ofrecen sus
reactancias;
En el capacitor:
R i(t)
Q i(t)dt jw i(t) 1
ec (t) = = ; ec (t) = ; ec (t) = Xc = (1.29)
C C C jwC jwC

En la bobina:

d(i(t))
eL (t) = L ; eL (t) = L · jw · i(t); XL = jwL (1.30)
dt

Circuito RC y RL con señal alterna.- Asumiendo que la frecuencia es constante


f = T1 , veamos el siguiente ejemplo con e(t) = Vp cos(wt) . sobre el capacitor y la bobina.

Como divisor de voltaje tomando en cuenta las reactancias de C y L tenemos:


P. Miranda 15

Figure 1.14: Circuito RC y RL para tensión alterna

Caso Capacitor:
eC (t) = |eC (t)|6 φ = |eC (t)|cos(wt + φ)
1
jwC 1 Vp 6 0
eC (t) = 1 e(t) = e(t) = p
R + jwC 1 + jwRC 1 + (wRC)2 6 tan−1 ( wRC
1
) (1.31)
Vp 6 (0 − tan−1 (wRC))
eC (t) = p
1 + (wRC)2
El voltaje sobre el capacitor será:
Vp
eC (t) = p cos(wt − tan−1 (wRC)) (1.32)
1 + (wRC) 2

Caso bobina :
wL 6
jwL j(wL/R) R
90
eL (t) = e(t) = Vp 6 0 = q Vp 6 0
R + jwL 1 + j(wL/R) wL 2 6 −1 wL
1 + ( R ) tan ( R )
wL
(1.33)
R wL −1
eL (t) = q Vp 6 (0 + 90 − tan ( ))
wL 2
1+( R ) R

El voltaje sobre la bobina resulta:


wL
wL
eL (t) = q R
Vp cos(wt + 90 − tan−1 ( )) (1.34)
1 + ( wL )2 R
R

En ambos casos la amplitud disminuye y existe retraso de fase y adelanto de fase.

Un caso particular que se verá mas adelante, es cuando optamos por hacer que wRC = 1
en el caso del capacitor
√ y wL/R = 1 en la bobina, se obtienen puntos de inflección donde
la amplitud cae a 1 2 , y el desfase es de 45 grados ( π/2 ).

Circuito RLC con señal alterna.- Lo propio en un circuito RLC en seria como la
figura 1.15 sustituyendo las reactancias por Xc = 1/jwC y Xl = jwL la corriente se
obtiene de la forma.

Por tanto los voltajes sobre cada componente serán eR = R·i , eC = XC ·i y eL = XL ·i :

eR (t) = R · |i|6 φ
1 1
ec (t) = 6 (−π/2) · |i|6 φ = · |i|6 (φ − π/2) (1.36)
wC wC
eL (t) = wL6 (π/2) · |i|6 φ = wL · |i|6 (φ + π/2)
16 FIS241 Electrónica General

e(t)
i(t) = 1
R + j(wL − wC
)
1
Vp −1 wL − wC
i(t) = q 6 − tan ( ) (1.35)
R2 + (wL − 1 2
) R
wC

i(t) = |i|6 φ
Figure 1.15: Circuito RLC i(t) = |i|cos(wt + φ)

1.3 Respuesta en frecuencia


Cuando variamos la frecuencia interesa el espectro de frecuencia desde la frecuencia infe-
rior fL hasta la frecuencia superior fH , en el osciloscopio se observará la variación de
amplitud y desfase, estas variaciones son clasificada como; “filtro pasa bajo”, “filtro pasa
banda” y “filtro pasa alto”, también el filtro rechazo de banda.

1.3.1 Circuito RC, RL


En este estudio conviene introducir el término de transmitancia, es la relación de T = eeC
ó T = eeL sobre el capacitor y bobina,también será compleja, este comportamiento será
comparado con el voltaje de pico de entrada al 100% y será representado por 1, y el
desfase en radianes.
1
Graficando las ecuaciones anteriores con wc = RC u wL = RL
obtenemos los puntos de
inflexión.

eo (w)
= T (w)6 φ(w) (1.37)
Vp

Sobre el capacitor representa un filtro pasa bajo.

eC (t) 1 w 1 w
=q 6 (−tan−1 ( ); T (w) = q ; φ(w) = (−tan−1 ( )
Vp 1 + ( wwC )2 wC 1 + ( wwC )2 wC
(1.38)

Sobre la bobina representa un filtro pasa alto.

w w
eL (t) wL w wL w
=q 6 (90−tan−1 ( )); T (w) = q ; φ(w) = 90−tan−1 ( )
Vp 1 + ( wwL )2 wL 1 + ( wwL )2 wL
(1.39)
En la figura 1.16 se observa la onda de entrada para una frecuencia constante, la onda
sobre el capacitor C y sobre la bobina L.

Las siguientes curvas en la figura 1.17 representan el resultado de Amplitud y Fase cuando
se varı́a la frecuencia w , para observar los puntos de inflexión en el eje X se grafica el
P. Miranda 17

Figure 1.16: Ondas resultantes: onda de entrada, sobre el capacitor del circuito RC, y sobre la bobina
en el circuito RL

factor w/w0 con w0 = √ 1/RC y w variable, el punto en que w/w0 = 1 se observa la


caida de amplitud en 1/ 2 y representa el ancho de banda ( BW ).

BW = wH − wL (1.40)

En esta diferencia wH = 1/RC y wL = 0 . En la gráfica inferior está el desface que


acompaña a la amplitud, desde 0 a π/2 .

Figure 1.17: Amplitud y desfase sobre C y sobre L

A la derecha del gráfico se tiene para la bobina, representa un filtro pasa alto. De igual
forma se ha representado
√ w0 = R/L y cuando w/w0 = 1 se encuentra que la amplitud
ha subido al 1/ 2 es el 70% de la amplitud máxima.

1.3.2 Circuito RLC


.- En el circuito RLC figura 1.15, se hace variar la frecuencia y se obtiene la gráficas de
la figura 1.18, en amplitud y fase para la corriente.
Vp w wC
i(t) = q 6 (−tan−1 ( − )) (1.41)
R 1 + ( wwL − wC 2
) wL w
w
18 FIS241 Electrónica General

Factorizando como Ip = Vp /R representamos T (w) = I(w)/Ip y las ecuaciones de


amplitud y fase son:
1 w wC
T (w) = q ; φ(w) = (−tan−1 ( − )) (1.42)
1 + ( wwL − wC 2
) wL w
w

Estas ecuaciones se representan en la figura 1.18, T (w)


√ comienza cerca cero en frecuen-
cias bajas, asciende hasta el máximo√pasando por 1/ 2 y luego vuelve a descender hasta
cerca cero pasando por el punto 1/ 2 . El desfase a su vez inicia en +π/2 y termina en
−π/2 .

Figure 1.18: Grafico de filtro pasabanda para el circuito RLC



El ancho de banda BW = wH −wL donde wL es cuando i(w) alcanza el valor de 1/ 2
y wH lo propio, entonces tenemos en ambos casos:
w wC 2 w wC
(− ) = 1; − = ±1 (1.43)
wL w wL w
Remplazando y reduciendo se encuentra que:
R
BW = wH − wL = (1.44)
L

1.3.3 Resonancia
La resonancia es cuando el valor de la corriente es máxima, en ese punto destacamos;
• La reactancia de la bobina es igual a la del capacitor pero de signos contrario, por
1
tanto la reactancia en conjunto se anula y es cero jwL − j wC =0.

• Al igualar las reactancias se obtiene la frecuencia de resonancia w0 = w


1
w0 = √ (1.45)
LC
P. Miranda 19

Vp
• La corriente es máxima y vale i(w0 ) = R
w0
• Con la frecuencia de resonancia se obtiene el factor de calidad. Q = AB

• Multiplicando wH · wL = w02

1.4 Análisis Transciente


Las siguientes ondas son no lineales, por que tienen la transición que la vuelve indetermi-
nada. Estudiaremos por partes.

Figure 1.19: Ondas: Función paso u(t), cuadrada, triangular, diente de sierra , pulso

La primera onda es la función paso u(t) , tal que u(t) = 0 para t < 0 y u(t) = 1 para
t > 0 , iniciaremos el estudio con esta onda que simboliza tambien la conexción de una
baterı́a a un circuito.

1.4.1 Circuito RC.-


conectando como en el circuito excitado por una función paso Vp u(t) , encontramos el
voltaje sobre el capacitor, considerando τ = RC .

Q
ec (t) =
C Z
1
e(t) = Ri(t) + i(t)
C (1.46)
ec (t) = Vp (1 − et/τ )
Vp t1/2
ec (t) = ; τ =
2 ln2

1.4.2 Circuito RL.-


Conectando como en el circuito excitado por una función paso, encontramos el voltaje
sobre la bobina considerando τ = L/R .
20 FIS241 Electrónica General

di(t)
eL (t) = L
dt
di(t)
e(t) = Ri(t) + L
dt (1.47)
eL (t) = Vp e−t/τ
Vp t1/2
eL (t) = ; τ =
2 ln2

1.4.3 Circuito RLC.-


de igual forma desarrollaremos las ecuaciones correspondiente para e(t) = u(t) de modo
que ė(t) = 0 función paso.
Z
di(t) 1
e(t) = Ri(t) + L + i(t);
dt C
d2 i(t) R di(t) 1 R 1
2
+ + i(t) = 0 o (D2 + D + )i(t) = 0
dt L dtr RC L
r LC
R R2 1 R R2 1
D1 = − + − D2 = − − − (1.48)
2L 2L LC 2L 2L qLC
R 1
q
α= w02 = β = α2 − w02 w = w02 − α2
2L LC
D1 = −α + β D2 = −α − β
(D − D1)(D − D2)i(t) = 0 i(t) = c1 eD1 t + c2 eD2 t

Solución general de las ecuaciones lineales de orden 2 de coeficientes constantes usando el


operador D:
D2 + pD + q = (D − D1)(D − D2)
Opciones Casos Posible Solución Lineal Solución General
Independiente
α > w0 D1 = −α + β x1 (t) = eD1 t
D2 = −α − β x2 (t) = eD2 t x(t) = C1 eD1 t + C2 eD2 t
α = w0 D1 = D2 = D x1 (t) = eDt
D = −α x2 (t) = teDt x(t) = eDt (C1 + C2 t)
α < w0 D1 = −α + wj x1 (t) = e−αt cos(wt)
D2 = −α − wj x2 (t) = e−αt sen(wt) x(t) = e−αt [C1 cos(wt) + C2 sen(wt)]
Llegamos a un estado en que existen tres soluciones dependiendo de β ;

Caso 1, Sobreamortiguado cuando α2 > w02 las raı́ces son reales y distintas ( D1 6=
D2 ) la solución es :
i(t) = c1 e(−α+β)t + c2 e(−α−β)t (1.49)
Caso 2, Amortiguamiento crı́tico cuando α2 = w02 , por tanto β = 0 y las raı́ces
son iguales (D1=D2), la corriente será;

i(t) = (c1 + c2 t)e−αt (1.50)


P. Miranda 21

3, Subamortiguado u oscilatorio cuando α2 < w02 , entonces β = jw donde


Casop
w = w02 − α2 luego las raices D1 y D2 son complejas. y la corriente es:

i(t) = e−αt (c1 cos(wt) + c2 sen(wt))


i(t) = A0 e−αt sen(wt + φ)
(1.51)
c1
q
A0 = c21 + c22 φ = tg −1 ( )
c2

Casos extremos:
-Sobre-amortiguado, α >> w0 , i(t) ≈ c1 + c2 e−2αt
-Amortiguado crı́tico, α = w0 , i(t) ≈ c2 te−αt
-Subamortiguado, α << w0 , i(t) ≈ Ae−αt sen(wt)
Los voltajes en cada componente son eR , eL y eC :

Z
di(t) 1
eR = R · i(t); eL = L ; eC = i(t)dt (1.52)
dt C

Ejemplo.- De acuerdo a los componentes disponibles en un laboratorio de electrónica,


normalmente son pequeños, además los generadores de onda regularmente tienen como
resistencia interna de 50Ω para acoplar al cable coaxial también de 50Ω .
Haremos uso de la frecuencia de resonancia para realizar los cálculos de los componentes,
para f0 = 1000Hz√, por tanto w0 = 2πf0 = 6283.2rps esto nos permite calcular C par-
tiendo de w0 = 1/ LC y R partiendo de α = R/2L . En el siguiente cuadro calculamos
para dos valores de L.
L C R
1 10mH 2.5uF 126Ω
2 50mH 0.5uF 628Ω
Calcularemos D1 y D2 para el segundo caso L = 50mH y construimos el siguiente
cuadro: p
1 R=1000 α = 10000 D1 = −α + pα2 − w02 D1 = −2220
D2 = −α − α2 − w02 D2 = −17780
2 R=628 α = 6283 D1 = D2 = w0 D1 = 6283
3 R=500 α = 5000 D1 = −α + jw D1 = −5000 + 3805j
D2 = −α − jw D2 = −5000 − 3805j
La ecuaciones correspondientes para el circuito RLC en serie alimentado por una función
paso, la solución homogénea de i(t) y di(t)
dt
son conocidas, usando el operador D = dtd
2
y D2 = dtd 2 .
La ecuación del circuito RLC homogenea para e(t)=E:

t
d2 i
Z
di 1 di 1
e(t) = Ri + L + idt; L 2
+R + i=0 (1.53)
dt C 0 dt dt C

EL proceso será:
22 FIS241 Electrónica General

α > w0
i(t) = c1 eD1 t + c2 eD2 t
i(0+ ) = 0; c1 + c2 = 0 α = w0
c2 = −c1 i(t) = e−αt (c1 + c2 t)
di di
= c1 D1 eD1 t + c2 D2 eD2 t = −αe−αt (c1 + c2 t) + e−αt c2
dt dt
di di E i(0+ ) = 0 = c1
E=L ; =
dt dt L E E
di(0+ ) E = −αc1 + c2 ; c2 =
= c1 D1 + c2 D2 = L L
dt L E −αt
E i(t) = te
i(t) = (eD1 t − eD2 t ) L
L(D1 − D2 ) i(t) = E · 20 · te−6283t
q
D1 − D2 = 2 α2 − w02 (1.55)
i(t) = E · 1.28 · 10−3 (e−2220t − e−17780t )
(1.54)

α < w0
q
w = α2 − w02
i(t) = Ae−αt sen(wt + φ)
di(t)
= Ae−αt [−α · sen(wt + φ) + w · cos(wt + φ)]
dt
(1.56)
i(0+ ) = Asen(φ) = 0; φ=0
+
d(i(0 ) E E
= = Aw; A=
dt L Lw
E −α
i(t) = e sen(wt)
Lw
i(t) = E · 5.2 · 10−3 e−5000t sen(3805t)

Se presentan dos gráficos para poderlos distinguir, en el primero para los datos propuesto
anteriormente y el segundo para resaltar el efecto de la baja resistencia menos de la mitad
del crı́tico.

Los voltajes sobre los componentes para el caso de subamortiguado tendremos.

E −α 1 R
i(t) = e sen(wt); w2 = w02 − α2 ; w02 = ; α=
Lw LC 2L
E −αt E · R −αt
eR (t) = R · i(t) = R · e sen(wt) = e sen(wt)
Lw Lw
di(t) E −αt
eL (t) = L =L (e (−α · sen(wt) + w · cos(wt))
dt Lw (1.57)
w0 α
= E e−αt cos(wt + tg −1 ( ))
Z t w w
−αt
1 1 E −e w w
ec (t) = i(t)dt = ( sen(wt + tg −1 ( )) + 2 )
C 0 C Lw w0 α w0
w0 −αt w
= E[1 − e sen(wt + tg −1 ( ))]
w α
P. Miranda 23

Figure 1.20: Grafico de corriente i(t) L=50mH, C=0.5uF, R = 10KΩ sobreamortiguado, R = 628Ω Critico,
R = 500Ω subamortiguado

Figure 1.21: Grafico de corriente i(t) L=50mH, C=0.5uF, R = 10KΩ sobreamortiguado, R = 628Ω Critico,
R = 200Ω subamortiguado

Figure 1.22: Grafico de voltages eR , eL , eL para L = 50mH , C = 0.5uF , R = 200Ω subamortiguado


24 FIS241 Electrónica General

1.4.4 Ejemplo de integración


Dada la ecuación de la corriente, se desea encontrar la integral:

Z t Z t
−αt
i(t) = e sen(wt); i(t)dt = e−αt sen(wt)dt (1.58)
0 0

Tomando en cuenta que:

a · sen(bx) − b · cos(bx)
Z
eax sen(bx)dx = eax
a2 + b 2
a · cos(bx) + b · sen(bx)
Z
eax cos(bx)dx = eax
a2 + b2

R R
Por partes, resulta.- Utilizando el método por partes udv = uv − vdu , tomando
en cuenta la receta de elegir el orden de selección de u y v ; Logarı́tmico, Inver-
sas, Algebraicas, Trigonométricas y Exponenciales (LIATE). En el ejemplo se requiere
Trigonométrica y luego Exponencial y resulta;

Z t
u = sen(wt) dv = e−αt dt
0
du e−αt
= w · cos(wt) v=
dt −α
Z t −αt Z t −αt
e e
e−αt sen(wt)dt = sen(wt) − w · cos(wt)dt
0 −α 0 −α
e−αt w t −αt
Z
= sen(wt) + e cos(wt)dt
−α α 0
u = cos(wt) dv = eαt dt
du e−αt (1.59)
= −w · sen(wt) v=
dt −α
−αt −αt
−w t −αt
Z
e w e
= sen(wt) + [ cos(wt) − e sen(wt)dt]
−α α −α −α 0
e−αt e−αt w2 t −αt
Z
= − 2 α · sen(wt) − 2 w · cos(wt) − 2 e sen(wt)dt]
α α α 0
Z t
α2 e−αt e−αt
e−αt sen(wt)dt = [ 2 ][− α · sen(wt) − w · cos(wt)]
0 α + w2 α2 α2
Z t
−αt −e−αt
e sen(wt)dt = [ 2 ][α · sen(wt) + w · cos(wt)] + C
0 α + w2

Por la formula de Euler Esta formula facilita las integrales exponenciales y trigonométricas.

ejwt − e−jwt ejwt + e−jwt


sen(wt) = ; cos(wt) = (1.60)
2j 2
P. Miranda 25

Usando nuevamente D1 = −α + jw y D2 = −α − jw procedemos con la integración


Z t Z t
−αt ejwt − e−jwt
e sen(wt)dt = e−αt dt
0 0 2j
1 t D1 t
Z
= (e − eD2 t )dt
2j 0
1 eD1 t − 1 eD2 t − 1
= [ − ]
2j D1 D2
1 eD1 t eD2 t D1 − D2
= [ − + ]
2j D1 D2 D1 D2
D1 D2 = α2 + w2 ; D1 − D2 = w2j
1 1
= [(−α − jw)e(−α+jw)t − (−α + jw)e(−α−wj)t + w2j]
2j α2 + w2
−e−αt w
= 2 2
[α · sen(wt) + w · cos(wt)] + 2
α +w α + w2
(1.61)

Interpretando en una sola función trigonométrica e incluyendo la resonancia, tenemos:


Z t
−e−αt w w
e−αt sen(wt)dt = √ sen(wt + tg −1 ( )) + 2
0 α2 + w 2 α α + w2
w w
Si w2 = w02 − α2 ; α2 + w2 = w02 ; sen(tg −1 ( )) = (1.62)
α w0
Z t
−e−αt w w
e−αt sen(wt)dt = sen(wt + tg −1 ( )) + 2
0 w0 α w0

1.5 Transformada de Laplace


Pasar del dominio del tiempo al dominio de la frecuencia, es un método que simplifica el
análisis de las ecuaciones de los circuitos RLC para diversas ondas de entrada, y luego
con el uso de tablas, facilitan su retorno al dominio del tiempo y obtener la ecuación.
El método es la transformada de Laplace tal que la función f (t) pasa a F (S) tal que
S = α + jω . La Transformada de Laplace se define:

L {f (t)} = F (s) (1.63)


y tiene la relación: Z +∞
F (s) = f (t)e−st dt (1.64)
0

Una vez cponocida la transformada, la forma de antitransformada se define:


Z c−j∞
1
f (t) = F (s)est ds o f (t) = L −1 F (s) (1.65)
2πj c−j∞

Como en toda transformación se tienen las propiedades fundamentales [3] :


Producto por una constante L {af (t)} = aF (s)
Superposición lineal f (t) = a · g(t) + b · h(t) , L {f (t)} = aG(s) + bH(s)
Derivación
26 FIS241 Electrónica General

Integración
Teorema del retardo
Función impulso
A continuación se incluye una tabla mas usada para la transformada y antitransformada,
que se utilizará para resolver los ejercicios.

1.5.1 Transformada de Laplace de las funciones usuales


f(t) F(s)
1 δ(t) 1
1
2 1 = u(t) S
1
3 t S2
n!
4 tn S n+1
1
5 eat S−a
1
6 t · eat (S−a)2
tn at 1
7 n!
e (S−a)n+1
S
8 (1 + at)eat (S−a)2
ebt −eat 1
9 b−a
, b 6= a (S−a)(S−b)
bebt −aeat S
10 b−a
, b 6= a (S−a)(S−b)
w
11 sen(wt) S 2 +w2
S
12 cos(wt) S 2 +w2
S·senϕ+w·cosϕ
13 sen(wt + ϕ) S 2 +w2
S·senϕ−w·cosϕ
14 cos(wt + ϕ) S 2 +w2
w
15 eαt sen(wt) (S−α)2 +w2
S−α
16 eαt cos(wt) (S−α)2 +w2

17 Aeαt cos(wt + ϕ)
αS+β
p
A = w1 α2 w2 + (β − aα)2 (S+a)2 +w2
ϕ = −arctg β−aα
αw

18 f 0 (t) S · F (S) − f (0+ )


Rt 1
19 0
f (t)dt S
F (S)
20 f (t − T )u(t − T ) e−ST F (S)
Introduciendo la transformada de Laplace en redes, veamos para los mismos circuitos
anteriores, con la señal denominada función paso.
 
0 t<0
u(t) = (1.66)
1 t > 0+
Esta función determina también la conexión natural de una baterı́a a cualquier circuito.
P. Miranda 27

Veamos dos procedimientos para el circuito de la figura 1.23. La ecuación 1.67 desarrolla
calculando la coorriente y luego el voltaje, la ecuación 1.68 supone el circuito en laplace y
encuentra el divisor de voltaje y luego laplace inverso. las funciones inversas regularmente
se las realiza usando las tablas.

Figure 1.23: Circuito RC exitado con f(t)=u(t)=1

R
i(t) 1 1
f (t) = R · i(t) + e(S) = ; XC (S) =
C S SC
1 1
1
f (S) = R · i(S) + i(S) eC (S) = ( SC
)
SC R+ 1
S
1 SC
S + RC
f (s) = R( )i(S) 1
S α=
1 RC
f (t) = u(t); f (S) = 1 1
S eC (S) = α( )
(1.67) S+α S (1.68)
1
α= A B
RC ec (S) = α( + )
1 S 1 S S+α
i(s) = ( ) 1
R S+α S A = ; B = −A
1 α
i(t) = e−αt 1 1
R eC (S) = −
R S S+α
i(t)
eC (t) = = 1 − eαt eC (t) = 1 − e−αt
C

Circuito RL .- de igual manera que la anterior para la gráfica de la fig. 1.24 se pre-
sentan las soluciones obtenidas.
SL S 1
eL (S) = e(s) =
R + SL S+RL
S
R 1 L
Con α= ; τ= =
L α R (1.69)
1
eL (S) =
S+α
eL (t) = e−αt = e−t/τ

Circuito RLC .- Para el circuito RLC de la afigura 1.25, se presentan tres situaciones,
onda amortiguada, amortiguamiento crı́tico y el subamortiguado representado en la figura
1.26.

A continuación se desarrolla los tres casos:


28 FIS241 Electrónica General

Figure 1.24: Circuito RL excitado con f(t)=u(t)=1

Figure 1.25: Circuito RLC exitado con


f(t)=u(t)=1

Figure 1.26: Respuesta de onda subamortiguada exitada por


f(t)=u(t)=1

e(s) e(S) Sobreamortiguado


i(S) = = 1 α2 > w02
Z(s) R + SL + SC
q
SC E s1 = −α + α2 − w02
i(S) = 2
S LC + SRC + 1 S q
E 1 s2 = −α − α2 − w02
i(S) =
L S + SR
2
L
1
+ LC E 1
I0 =
La − singularidad − de − i(S)
p
L 2 α − w02
2

R 1 i(t) = I0 (es1t − es2t )


S2 + S + =0
L rLC .
(1.70) (1.71)
R R 1
S=− ± ( )2 − Amortiguamiento critico
2L 2L LC
R 1 α2 = w02
α= ; w2 = s1 = −α; s2 = −α
2L q 0 LC
E 1
S = −α ± α2 − w02 i(S) =
L (S + α)2
E 1 E C1 C2
i(S) = i(S) = ( + )
L (S − s1)(S − s2) L S + α (S + α)2
E 1 1 1 E
i(S) = ( − ) i(t) = e−αt (C1 + C2 t)
L s1 − s2 S − s1 S − s2 L
P. Miranda 29

Para el subamortiguado nos adecuamos a la tabla de anti-transformada y tendremos;

Subamortiguado
α2 < w02
e(s) R 1
i(s) = ; α= ; w02 = ; w2 = w02 − α2
Z(s) 2L LC
L L (1.72)
Z(s) = (s2 + 2αs + w02 ) = [(s + α)2 + w2 ]
s s
1 ws E E w
i(s) = ( 2 2
) = ( )
Lw (s + α) + w s Lw (s + α)2 + w2
E −αt
i(t) = e sen(wt)
Lw

Ejemplo .- Asumiendo algunos valores para graficar, para f0 = 1kHz , w0 = 2πf0 =


1
6283rps , a este valor corresponde w0 = √LC , eligiendo la bobina L = 100mH el
R
capacitor valdrá C = 0.25uF , para este valor encontramos α = 2L , en el caso de amor-
tiguamiento crı́tico α = w0 el valor de la resistencia vale R = 1250Ω . Entonces existe
un valor de referencia para elegir y es el potenciómetro R.
El tiempo debe estar al rededor de 1/f0 es decir 1ms y los rangos serán de 0ms a 30ms,
para plotear hacemos el escalamiento de tiempo α = 6.283 y la corriente resulta en mA
:
Sobreamortiguado α > w0 :

R = 2000 α = 10000; s1 = −2220; s2 = −17780


E
I0 = ; i(t) = I0 (e−s1t − e−s2t )
1556
Amortiguamiento critico α = w0 :
E −αt
R = 1250; α = 6250; i(t) = te (1.73)
L
Subamortiguado α << w0 :
E
R = 300; α = 1500; w = 6101; I0 = ; i(t) = I0 · e−αt sen(wt) (1.74)
610
Los voltajes en cada componente serán
R −αt
eR (s) = R · i(s); eR (t) = E e sen(wt) (1.75)
Lw

s α
eL (s) = sL · i(s) = E ; eL (t) = Ee−α [cos(wt) − sen(wt)] (1.76)
(s + α)2 + w2 w

1 1 1 1 s+α α w
eC (s) = i(s) = Ew0 2 2
= E[ − 2 2
− ]
sC (s + α) + w s s (s + α) + w w (s + α)2 + w2
α
eC (t) = E[1 − e−αt (cos(wt) + sen(wt))]
w
(1.77)
30 FIS241 Electrónica General

Figure 1.27: Grafico de Corriente para las tres opciones 1-sobre-amortiguado, 2-critico, 3-subamortiguado

Esto muestra que el proceso, sea por ecuaciones diferenciales o transformada de Laplace
los resultados son idénticos y serán como el de la figura 1.22.
Veamos la onda sub-amortiguada sobre la resistencia, sobre esta se medirá en laboratorio
haciendo pasar una onda exponencial virtual: con t1, t2 o t3 se mide τ y con T se mide
w , por tanto con la medida de t1 y t2 tal que t1 en V 1 y t2 en V 2 = V 1/2 se encuentra
t2 − t1 = t1/2 define α , la inversa es el τ = t1/2 /ln2 , también midiendo t3 en V 3 por
dos puntos se logra tau = (t3 − t1)/ln(V 1/V 3) . Con el periodo T de la onda senoidal se
mide la frecuencia f = 1/T que se repite y se define w = 2πf .

Figure 1.28: Voltaje sobre la resistencia y puntos de medida

1.5.2 Excitación Periódica


Las ondas del generador de funciones f (t) , tienen un ciclo repetitivo de periodo T ,
amplitud Vp de pico y un nivel continuo (offset) variable.
Teorema, transformada de una función periódica.- sea f : [0, +∞] → < una
función continua a trazos y de orden exponencial en el intervalo [0, +∞] , si f(t) es
periódica con periodo T, tal que f(t+nT)=f(t) ∀n ≥ 0 , entonces:
Z T
1
L {f (t)} = F (s) = e−st f (t)dt (1.78)
1 − e−sT 0
P. Miranda 31

Onda cuadrada positiva.- de amplitud 1 y frecuencia T=2:

Z 1 
1 −s
F (s) = e dt + 0
1 − e−2s 0
1 1 −s 1 1 − e−s
F (s) = (1 − e ) =
1 − e−2s s s (1 − e−s )(1 + e−s )
  1 1
1 0+ ≤ t < 1 F (s) =
f (t) = s 1 + e−s
0 1+ ≤ t < 2 (1.79)

Onda cuadrada.- la amplitud es el doble, partiendo de la anterior onda el tratamiento


es reducido.

f (t) = 2 · g(t) − 1
1 1 1
F (s) = 2 ( −s
)− (1.80)
s 1+e s
1 1 − e−s
F (s) =
s 1 + e−s

Onda triangular positiva.- Hacemos uso del factor multiplicativo:

 
t 0+ ≤ t < 1
f (t) =
2 − t 1+ ≤ t < 2

Z T Z 1 Z 2 
1 −st 1 −st −st
F (s) = f (t)e dt = te dt + (2 − t)e dt
1 − e−sT 0 1 − e−2s 0 1
e−s e−s e−s e−s e−s e−2s
 
1 1
F (s) = ( 2− − 2 )+( + − 2 + 2 )
1 − e−2s s s s s s s s
(1.81)
−s 2
1 1 (1 − e ) 1
F (s) = −2s 2
(1 − 2e−s + e−2s ) = −s −s
1−e s (1 − e )(1 + e ) s2
1 1 − e−s
F (s) =
s2 1 + e−s

Tabla adicional de la transformada de Laplace:


32 FIS241 Electrónica General

f(t) F(s)
tn−1 1
21 (n−1)! Sn
tn−1 1
22 Γ(n) Sn
···n > 0
tn−1 eat 1
23 (n−1)! (S−a)n
tn−1 eat 1
24 Γ(n) (S−a)n
sen(at) 1
25 a S 2 +a2
t2 sen(at) 3s2 −a2
26 2a (s2 +a2 )3
f(t) F(s)
ebt sen(at) sh(at)+at·ch(at) s2
27 1 41 2a (s2 −a2 )2
a (S−b)2 +a2
s3
28 t · cos(at) s2 −a2 42 ch(at) + 12 at · sh(at) (s2 −a2 )2
(s2 +a2 )2
s2 +a2
29 1 2
t cos(at) s3 −3a2 s 43 t · ch(at) (s2 −a2 )2
2 (s2 +a2 )3
s4 −6a2 s2 +a4 (3−a2 t2 )sen(at)−3at·cos(at) 1
30 1 3
t cos(at) 44 8a5 (s2 −a2 )3
6 (s2 +a2 )4
sh(at) 1 t·sen(at)−at2 cos(at) s
31 a S 2 −a2
45 8a3 (s2 +a2 )3
s (1+a2 t2 )sen(at)−at·cos(at) s2
32 ch(at) S 2 −a2 46 8a3 (s2 +a2 )3
ebt sh(at) 1 3t·sen(at)+at2 cos(at) s3
33 a (S−b)2 −a2 47 8a (s2 +a2 )3
s−b (3−a2 t2 )sen(at)+5at·cos(at)
34 ebt ch(at) (S−b)2 −a2 48 s4
8a (s2 +a2 )3
sen(at)−at·cos(at) 1 (8−a2 t2 )cos(at)−7at·sen(at)
35 s5
2a3 (s2 −a2 )2 49 8 (s2 +a2 )3
t·sen(at) s
36 2a (s2 +a2 )2
sen(at)+at·cos(at) s2
37 2a (s2 +a2 )2
s3
38 cos(at) − 12 at · sen(at) (s2 +a2 )2
at·ch(at)−sh(at) 1
39 2a3 (s2 −a2 )2
t·sh(at) s
40 2a (s2 −a2 )2
Nota: 0! = 1 , n=1,2,3, Γ(n)
P. Miranda 33

1.6 Graficación con Gnuplot


Con la finalidad de poder realizar gráficas en gnuplot se incluyen dos graficadores.
# Tema: Graficos Transcientes Voltajes en RC y RL
# Carrera de Fı́sica, FCPN-UMSA La Paz Bolivia
# FIS241 Electronica General .....
# Ing. Pedro Miranda, abril 2020

reset

E = 5 #fuente continua
R = 1000
C = 1E-6
tauC=R*C*1000 #escalamiento en tiempo
ec(x) = E*(1-exp(-x/tauC))

set terminal png size 480,240


set output "grafRC.png"
set title "Voltaje sobre el condensador (R=1000,C=1uF)"
set ylabel "ec (Volts)"
set xlabel "Tiempo t (mseg) "
set grid x y
set ytics 1
set arrow 1 from 0,2.5 to 0.6,2.5 lt 0
set arrow 2 from 0.65,2.5 to 0.65,0 lt 0
set key bottom
plot[0:5][]ec(x) t "ec(t)"
set output #grabar grafico

R=100
L=5E-2
tauL=L/R*1000 #escalamiento en tiempo
el(x) = E*exp(-x/tauL)

set terminal png size 480,240


set output "grafRL.png"
set title "Voltaje sobre la bobina (R=100,L=50mH)"
set ylabel "el (Volts)"
set xlabel "Tiempo t (mseg) "
set grid x y
set ytics 1
set arrow 1 from 0,2.5 to 0.3,2.5 lt 0
set arrow 2 from 0.35,2.5 to 0.35,0 lt 0
set key top
plot[0:3][]el(x) t "el(t)"
set output #grabar grafico
set terminal x11

..............................................................................
34 FIS241 Electrónica General

El segundo programa de grafico es:

# Tema: Graficos Transcientes Homogeneas Corrientes


# Carrera de Fı́sica, FCPN-UMSA La Paz Bolivia
# FIS241 Electronica General .....
# Ing. Pedro Miranda, abril 2020

reset
set terminal png size 640,360
set output "graf6.png"

E=5 #fuente continua


f=1000 #Frec. resonancia
w0 =2*pi*f #w en resonancia
L = 5E-2 #Bibina
C = 1/(L*w0**2) #Capacitor

#Amortiguamienteo Crı́tico
R = 2*L*w0 #Resistencia (critico)
a = R/(2*L) + 0 #alpha critico
g(x) = E/L*x*exp(-a*x) #ecuacion

#Sobreamortiguado
R1 = 1000
a1 = R1/(2*L)
D1 = -a1+sqrt(a1**2 - w0**2)
D2 = -a1-sqrt(a1**2 - w0**2)
f(x) = E/L*1/(D1-D2)*(exp(D1*x)-exp(D2*x))

# Subamortiguado
R2 = 500
a2 = R2/(2*L)
w = sqrt(w0**2-a2**2)
h(x) = E/L*1/w*exp(-a2*x)*sin(w*x)

set title "Corriente i Vs t ; L=50mH, C=0.5uf"


set ylabel "Corriente i (Amp)"
set xlabel "Tiempo t (seg) "
set grid x y
#set lmargin at screen 0.05; set rmargin at screen 0.35
set label 1 "1" at 0.0002,0.003
set label 2 "3" at 0.00035,0.006 #caso R=500
#set label 2 "3" at 0.0004,0.008 #caso R=200
plot[1E-5:3E-3][]f(x) t "1 (R=1000) a>w0 ",g(x) t"2 (R= 628) a=w0 ",\
h(x) t "3 (R= 500) a<w0 "
set output #grabar grafico
set terminal x11

print "w0=", int(w0)," L=",L," C= ", C," R=",int(R)," a=",int(a)


print "R1=",int(R1)," a1,D1,D2 = ",int(a1), int(D1), int(D2),"
print "R2=",int(R2)," a2,w = ",int(a2), int(w)

...............................................................................
P. Miranda 35

Nuevo Ejemplo:

# Tema: Graficos Transcientes Homogeneas Voltajes


# Carrera de Fı́sica, FCPN-UMSA La Paz Bolivia
# FIS241 Electronica General .....
# Ing. Pedro Miranda, mayo-2020

reset
set terminal png size 640,360
set output "graf8.png"

E=5 #fuente continua


f=1000 #Frec. resonancia
w0 =2*pi*f #w en resonancia
L = 5E-2 #Bibina
C = 1/(L*w0**2) #Capacitor

# Subamortiguado
R2 = 200
a2 = R2/(2*L)
w = sqrt(w0**2-a2**2)

er(x) = E*R2/(L*w) * exp(-a2*x)*sin(w*x)


el(x) = E*(w0/w) * exp(-a2*x)*cos(w*x+atan(a2/w))
ec(x) = E*(1-w0/w * exp(-a2*x)*sin(w*x+atan(w/a2)) )

set title "Subamortiguados V vs t ; L=50mH, C=0.5uf R=200"


set ylabel "voltajes (volts)"
set xlabel "Tiempo t (seg) "
set grid x y
set label 1 "er" at 0.0004,1.5
set label 2 "el" at 0.00005,4.5
set label 3 "ec" at 0.0007,6
set key bottom
plot[1E-5:3E-3][]er(x) t "er(t)",el(x) t "el(t)",ec(x) t "ec(t)"
set output #grabar grafico
set terminal x11

print "w0=", int(w0)," L=",L," C= ", C


print "R2=",int(R2)," a2,w = ",int(a2), int(w)
36 FIS241 Electrónica General
Chapter 2

SEMICONDUCTORES,
AMPLIFICADORES
2.1 Introducción
Se forman los conceptos sobre los cuales se desarrollaron la fabricación de los semiconductores, estos
son; el diodo rectificador, el diodo zener, los diodos emisores de luz (LEDs, Light Emiter Diodo), el
transistor NPN, el semiconductor complementario de óxido metálico ( CMOS - Complementary Metal
Oxide Semiconductor), en la figura 2.1 se observan los sı́mbolos de estos componentes. Posteriormente
se realizan las aplicaciones del diodo y del transistor, el diodo como rectificador y el transistor como
amplificación.

Figure 2.1: Elementos de semiconductores; diodo, diodo zener,LED, Transistor, y COMS

2.2 Semiconductores
Los elementos usados para conductores regularmente fueron el cobre, aluminio, hierro y otros por que
hay disponible un gran número de electrones libres que sirven como portadores de cargas en una corriente
eléctrica, mientras que en el otro extremo el no conductor denominado aislante está basado en goma o
combinaciones de carbone e hidrógeno donde sus electrones se encuentran bien unidos a sus átomos.
Se adjunta una fracción de la tabla periódica de elementos (TPE) para hacer referencia en cuanto a
metales y metaloides, las columnas son los grupos (G1 al G18) y en horizontal los periodos (P1 al P7).
Distinguimos además los elementos denominado Metaloides o semimetales (B, Si, Ge, As, Sb, Te, Po),
los elementos metálicos de postransición o menos reactivos (Al, Ga, In, Ti, Sn, Pb, Bi), y los elementos
no metales (C, N, P, O, S, Se). Como referencia en la industria el metal mas usado para conducción
eléctrica es el cobre Cu, y el plástico como aislante.
Según la TPE el silicio (Si) (G14,P3) y el germanio (Ge) (G4,P5), ambos pertenecen a la columna del
grupo 14 y tienen 4 electrones en su última capa (2s y 2p), estos pertenecen a la banda de valencia y
contribuyen a las propiedades quı́micas del átomo, el silicio en su forma cristalina al combinarse con
otros 4 electrones de sus vecinos tienen el enlace covalente estable al formarse octeto de electrones y se
comportan como aislantes.

G↓ 1 2 3-12 13 14 15 16 17 18
P → 1e 2e otros metales 3e 4e 5e 6e 7e 8e
1 H He
2 Li Be B C N O F Ne
3 Na Mg Al Si P S Cl Ar
4 K Ca Sc-Zn Ga Ge As Se Br Kr
5 Rb Sr Y-Cd In Sn Sb Te I Xe
6 Cs Ba Lu-Hg Ti Pb Bi Po At Rn
7 Fr Ra Lr-Cn Nh Fl Mc Lv Ts Og

En conducción eléctrica, una barra de silicio o germanio, polarizado por un voltaje se ve que la corriente
es mı́nima, todos los electrones están ligados al átomo en su banda de valencia, para que exista algunos

37
38 FIS241 Electrónica General

electrones libres que pasen a la banda de conducción hace falta elevar la temperatura para crear el flujo
de corriente, denominándose propiedades intrı́nsecas.
Añadiendo impurezas con átomos pentavalentes (P,As,Sb) o trivalentes (Al,Ga,In) el semiconductor esta
dopado y adquiere propiedades extrı́nsecas, con electrones libres o huecos en la banda de conducción.

2.2.1 Semiconductores tipo N y P


Semiconductor Tipo N Al dopar al silicio con elemento pentavalente (P, As, Sb), se logran el
aporte de los electrónes más débiles y forman electrones libres, a estos se le denomina átomo donador,
debido a estos electrones libres se forma el semiconductor tipo N, en la figura2.2b se esquematizado esta
red. Los portadores mayoritarios serán los electrones y los huecos portadores minoritarios, por otro lado
el electrón libre no se alejará mucho del átomo donador.

Figure 2.2: Estructuras atómica a) cristal de silicio o germanio, b)Red tipo N donador,
c) Red tipo P aceptor

Semiconductor Tipo P Al dopar el silicio con elemento trivalente (Al, Ga, In), se logra una
estructura en el que falta un electrón para que el elemento dopador complete sus enlaces, este es un
hueco, por tal razón se le denomina átomo aceptor, puesto que en el hueco puede ser llenado por un
electrón externo. Los portadores mayoritarios serán los huecos y los minoritarios los electrones, en la
figura 2.2c se observa esta red, denominado semiconductor tipo P, pero el semiconductor permanece
neutro
Eléctricamente si conectamos a los semiconductores P o N como a una resistencia para saber el flujo de
corriente, se observa que la resistividad del semiconductor tipo P es baja y conduce por que los electrones
saltan de hueco en hueco, mientras que el tipo N contrariamente llega a un lı́mite donde los electrones
libres se agotan y queda el enlace fuerte y su resistividad es alta con lo que se convierte casi en un aislante.

2.2.2 Diodo
Al unir dos tipos de dopado de semiconductores P y N, se construye el denominado diodo, en la tecnologı́a
electrónica es de amplio uso.
Al soldar P y N aparece la juntura o la unión, donde los electrones libres del lado N pasan a llenar los
huecos del lado P, en la unión aparece una barrera de potencial eléctrico, en N se vuelve positivo y en P
negativo, una relación es:
kT ne nh
E= ln 2 V oltios (2.1)
q ni
donde k es la constante de Boltzmann = 1.38 · 10−23 Julios/o K (u 8.69 · 10−5 eV /grado ), T temper-
atura en o k , q la carga del electrón 1.602 · 10−19 coulombs , ni es la densidad de pares electrón-hueco,
ne y nh las densidades de electrones y huecos respectivamente en las regiones N y P el campo eléctrico
en la región N es positiva respecto a la P, hablamos de una barrera de espesor de un micrón ( 10−3 mm ).
En la figura 2.3 se ha esquematizado el diodo en tres etapas.
P. Miranda 39

Polarización Inversa Si al diodo se polariza con una fuente exterior V dc como en la figura 2.3b,
el negativo en P y el positivo en N, los electrones libre de N se van al positivo de la fuente, mientras que
en P los huecos se combinarán con los electrones que van por el cable del negativo, es decir que existe
portadores mayoritarios que crean un flujo de corriente despreciable io , en la unión el campo se incre-
mentará y a los electrones les costará atravesar del polo negativo hasta la unión, y asi haciendo crecer
el voltaje sin incrementar la corriente o sin conducir, pero repentinamente cuando el potencial alcanza
a un valor denominado Voltaje de Pico Inverso (VPI) o voltaje de ruptura VR el electrón atraviesa P
hasta ser acelerado por el campo de la unión, cruza N hasta el polo positivo de la fuente y se produce
alta corriente, se ha producido una avalancha, y la corriente es controlado solo por componentes externos.

Polarización Directa Polarizando el diodo como en la figura 2.3c, positivo en P y negativo en N,


incrementando el voltaje externo, un electrón de la fuente pasa del negativo en N hasta la unión, en la
unión el campo interno es inverso y no puede atravesar, pero cuando la fuente externa es superior a este
voltaje los electrones adquieren energı́as que atraviesan el campo interno, si continuamos incrementando
el voltaje Vdc se produce la conducción, y la corriente responde a la ecuación:

I = Io (exp(V /nVT ) − 1) (2.2)


donde V es el voltaje sobre el diodo que en este caso es el mismo V dc de alimentación, n es 1 para
el germanio y 2 para el silicio, VT = kT q es el voltaje equivalente de la temperatura 25.9mVolts a
temperatura ambiente, son valores conocidos, el gráfico de la figura 2.4 muestra la ecuación de la cor-
riente en el primer cuadrante (I,V positivos), la corriente es mı́nima hasta llegar a Vγ , en el silicio el
voltaje Vγ inicia en 0.6 Volt y en el germanio en 0.2Volts luego el incremento de corriente es exponencial.

Figure 2.4: Curva genérica Tensión corri-


ente del diodo PN

Figure 2.3: Diodo de unión a) diodo b)


diodo polarizado inversamente, c)diodo polar-
izado directamente, d) sı́mbolo del diodo

Acotando lo que sucede con la resistencia dinámica del diodo podemos decir que inicialmente es alta luego
nVT
se aproxima al cero, es decir rd = dVdI , luego de la ecuación de corriente obtenemos que rd = I , la
pendiente exponencial crece en 1/rd .

2.2.3 Transistores
La primera publicación en 1948 por Barden y Brattain1 de un trabajo sobre un segundo contacto a
un diodo de germanio que mostraba que, cuando el segundo contacto se polarizaba en sentido inverso,
1
Premio Nobel de Fı́sica el año 1956 (8 años despues del artı́culo)
40 FIS241 Electrónica General

su corriente podı́a ser controlada por la que circulaba por el primero contacto polarizado en sentido
directo. Resulta asi un sistema con tres electrodos de estado sólido al que llamamos transistor. Este
primer transistor fue construido por fusión (contacto), es decir sobre un dopado N de 0.5mm de espesor
al que le llamaron Base se conectan dos dopados P, al primero le denominan Colector y al segundo
Emisor separados 0.05mm entre ellos, en la figura 2.5a observamos este transistor original, en 2.5b el
industrializado fabricado por técnica de crecimiento, y se han construido transistores PNP o NPN.

Figure 2.5: a) Transistor de Unión por Figure 2.6: Niveles de potencial pre-
fusión PNP, b) Transistor de unión por sentes en un transistro NPN; a) sin po-
crecimiento larizar, b) polarizado para funcionamiento

En la figura 2.5b entre base y emisor se presenta un diodo polarizado directamente como anteriormente se
explicó, entre base y colector se polariza inversamente, esto hace que el electrón en el emisor proveniente
de Vbe libremente llega al potencial de la base y varios se dirigen a la base pero como en el colector
existe un potencial mucho mayor, la mayorı́a de los electrones atraviesan la base y se dirigen al colector,
de esta forma se crea el flujo de electrones al colector. en la figura 2.6 se observa el transistor NPN sin
polarizar y polarizado, el potencial de colector es mas alto.
En consecuencia en la figura 2.7 se presentan los dos tipos de transistores con sus sı́mbolos usados.

Figure 2.7: Transistores tipos; a)NPN, b) PNP y c) polarización

Diferenciamos tres corrientes en la figura 2.7c ib , ie , ic estas tres corrientes están relacionados ;
dic
β= ]V c=cte. ∆ic = α∆ie (2.3)
dib
La corriente de base es
α
∆ib = ∆ic − ∆ie β= (2.4)
1−α
Un gráfico de estas relaciones se presenta en la figura 2.8 estas curvas permiten realizar diversos usos del
transistor.

2.3 Rectificadores
Se presenta los rectificadores de media onda y de onda completa.
P. Miranda 41

Ic

Ib

Ib

Vd Vc

Figure 2.8: Curva B-E y C-E del transistor NPN

2.3.1 Rectificador de media onda


Como una primera aplicación son los rectificadores de onda, en el circuito de la figura 2.9 se tiene el
rectificador de media onda, se introduce un generador de onda ei alterna senoidal de frecuencia f=50Hz.
El diodo conduce en el sentido positivo cuando ei >= 0.6V , y no conduce en el sentido contrario en
ei negativo, a la derecha se incorpora la onda de rectificación suponiendo que ei = 5 · sin(wt) con
Vp = 5V olts , el voltaje de salida no alcanza al voltaje de pico, puesto que el voltaje en Vd = 0.6 para
el diodo de silicio.

Figure 2.9: Circuito rectificador de media onda y gráfico de ondas entrada salida

2.3.2 Rectificador de onda completa


En forma similar en el circuito de la figura 2.10 se disponen el generador ei y cuatro diodos, en ei
positivo actúan los diodos d1 y d2, cuando ei es negativo actúan los diodos d3 y d4, de forma que en
ambos ciclos positivo y negativo de ei por la resistencia circula la corriente, el voltaje de pico en la
salida eo es de V p − 1.2 voltios, debido a que son dos diodos. En la forma de onda podemos distinguir
este aspecto.

Figure 2.10: Circuito Rectificador de onda completa y forma de onda salida


42 FIS241 Electrónica General

Un resumen de los rectificadores conduce a identificar los valores alternos y continuos, Vdc es el valor
continuo y Vac es el valor eficaz o alterno, con las relaciones;
s
1 T 1 T 2
Z Z
V dc = e(t)dt V ac = e (t)dt (2.5)
T 0 T 0

En la figura 2.11 observamos las ondas y los valores dependiendo del voltaje de pico Vp

fuente Vdc Vac

Vp
ei 0 √
2

Vp Vp
eo [mo] π 2

2V p Vp
eo [oc] π

2

Figure 2.11: Onda generador (superior), Rectificador media onda (centro), Rectificador de
onda completa (inferior) y los valores Vdc y Vac (linea gruesa)

El rectificador de onda completa se puede dibujar tambien de la siguiente forma equivalente.

Rectificadores de onda completa


Rectificador de onda completa

2.4 Reguladores de voltaje


Incluyendo un transformador en lugar de ei para conectar a la linea de energı́a local y adicionando un
capacitor C paralelo a la resistencia R , hacemos que en la salida el valor de Vdc crece y reduce el valor
Vac, lograndoce un regulador de voltaje.

2.4.1 Transformador
El transformador es de amplio uso, tiene una bobina denominado primario y en la salida otro embobinado
el secundario, ambos montados sobre un núcleo de hierro que conduce el flujo magnético. La entrada por
lo general se conecta a la linea alterna 220Vac y el secundario se genera de acuerdo a un valor requerido,
ambos están relacionados por la potencia.
P. Miranda 43

P =e·i
Pp = Ps
np · ip = ns · is (2.6)
ep es
=
np ns

La potencia en el primario es igual a la del secundario, es la relación ideal sin tomar en cuenta pérdidas
por calor o flujo magnético. Las siguientes relaciones son usadas para relacionar el transformador.
Los subı́ndices denotan el primario p y el secundario s .
La linea eléctrica nacional es ep = 220V ac y la frecuencia es de 50Hz f = 50Hz , con estos datos se
deben elegir los demás parámetros ip , es , is para diseñar el tı́po de transformador, de una tabla técnica
salen np y ns que son los números de vueltas primario y secundario.

2.4.2 Rectificador con transformador


De forma similar a la primera parte de rectificadores en la figura 2.12 se presenta las conexiones de rec-
tificador de media onda y onda completa.

Figure 2.12: Transformador y Rectificador de media onda (izquierda) y Rectificador de


onda completa (derecha)

La potencia en el secundario es Ps = es · is , luego la corriente es is = es /R , entonces Ps = e2s /R , es


decir que todo depende de lo que se desea construir. En eo es similar a lo presentado en la figura 2.11
esto facilita mayores cálculos.
Como ejemplo, si en la salida eo se requiere V sp = 5V y corriente de pico isp = 0.5A , la resistencia

resulta R = 5/0.5 = 10Ω . Entonces en rectificador de media onda esp = 5 + 0.6 y es = esp / 2 = 3.92
es
y la Potencia es P s = √2p isp
√ = 1.4W atts . En el primario también P p = 1.4W , con estos valores se
2
calculan el número de vueltas de cada embobinado.

2.4.3 Reguladores con transformador


En la salida de los circuitos en la figura 2.12 adicionamos un capacitor C con la finalidad de retener el
voltaje eo y no vaje hasta cero, se logra la descarga del Capacitor C sobre la resistencia de carga R ,
que representa la resistencia que se va conectar como en la figura 2.13
En regulador de media onda el capacitor C se carga en el ciclo positivo de es y se descarga a través
de la resistencia R hasta el próximo ciclo positivo, esta descarga del capacitor en realidad es hasta
que la onda senoidal alcanza a la descarga en el punto de cruce, luego se recarga y se repite el ciclo.
Sin embargo prolongando la onda de descarga hasta que la onda senoidal alcanza el pico, generamos un
mı́nimo error, esto nos facilita cálculos de aproximación y tratamiento simple. Como se ve la onda de
descarga es repetitiva y se tienen con Vp voltaje de pico de es ;

τ = R · C, V l = V p · e−t/τ (2.7)

En los cálculos regularmente se considera:

t = T, τ =n·T (2.8)
44 FIS241 Electrónica General

Figure 2.13: Reguladores de media onda y onda completa con sus respectivas formas de
onda de salida para τ = 20ms = T , T = 20ms y f=50Hz

Por tanto el voltaje de rizado pico a pico Epp será;


Epp Ep
Epp = V p − V l = V p(1 − e−t/τ ), Ep = , Eac = √ (2.9)
2 3
Pero nuestro objetivo es encontrar Vdc, es el valor continuo de salida de eodc ;
Vp
V dc = V l + Ep = V p − Ep = (1 + e−t/τ ) (2.10)
2
En la figura 2.13 se incorporan estos cálculos para T = 20ms resultado de f = 50Hz , τ = T se tiene
e−t/τ = e−1 = 0.36 ;

V l = V p · 0.36, Ep = V p · 0.31, V dc = V p · 0.68 (2.11)

En el caso del regulador por rectificación de onda completa, el periodo se reduce a la mitad, los cálculos
para un T = 10ms , τ = 20ms = 2T se tiene e−1/2 = 0.6 ;

V l = V p · 0.6, Ep = V p · 0.15, V dc = V p · 0.8 (2.12)

Con lo que se observa que el voltaje de rizado es menor.


En la figura2.14 se presentan ondas para τ = 4T y en la figura 2.15 para tau = 5T , en ambos se
observa que el rizado va reduciendo según τ crece.
P. Miranda 45

Figure 2.14: Reguladores de voltaje para τ = 60ms = 3T

Figure 2.15: Reguladores de Voltaje para τ = 100ms = 5T

Generalización Haciendo que las ecuaciones dependan de la proporcionalidad de τ hacemos que


τ = n · T con lo que se tiene el factor e−1/n . La figura 2.16 muestra el rizado Ep en función de n en
46 FIS241 Electrónica General

el rango de 0.1 a 100 en la figura superior, en la inferior se presenta una ampliación de la zona de interés
que es cuando Ep es pequeño, se a graficado en escala logarı́tmica para mejor observación.

Figure 2.16: Variación del rizado según el τ = n · T

Esta gráfica permite un rápido cálculo por simple inspección del rizado que se desea en la salida, regu-
larmente los rizados son inferiores al 5%.
Con la aproximación de la exponencial e−x = 1 − x para x pequeño, los cálculos se simplifican mucho
mas:

Señal referencia Ecuación m.o Media Onda Onda Completa


Vl minimo V p · e−t/τ Vp(1-1/n) Vp(1-1/2n)
Epp Rizado V p(1 − e−t/τ ) Vp/n Vp/2n
Ep Epp/2 Vp/2n Vp/4n
Vdc Vl+Ep V p(1 + e−t/τ )/2 Vp(1-1/2n) Vp(1-1/4n)
P. Miranda 47

2.5 Graficadores con Gnuplot


2.5.1 Graficadores - Ejemplo 1, Rectificadores.gp
#Rectificadores.gp g
#FIS241 Electronica General, Carrera de Fı́sica
#FCPN-Universidad Mayor de San Andres, La Paz Bolivia
#Docente. Ing. Pedro Miranda, marzo 2020

reset
set terminal png size 480,360

T = 20 #ms
w=2*pi/T
Vp =5;
set grid
set ytics 1
set yrange [-5:5]
set xtics 5
set xrange [0:40]
set format x "%3.0f"
set ylabel "Voltios"
set xlabel "Tiempo en milisegundos"
set output "ondas-rect-mediaonda.png"
f(x) = Vp*sin(w*x)
g(x) = f(x)-0.6>0 ? f(x)-0.6: 0
plot [][] f(x) lw 2 t ’ei’, g(x) lw 3 t ’eo’

set output "ondas-rect-ondacompleta.png"


h(x) = abs(f(x))-1.2 >0 ? abs(f(x))-1.2 :0
plot [][] f(x) w l lw 2 t ’ei’, h(x) w l lw 3 t ’eo’
set output

#.................
set terminal png size 640,480
set output "ondas-rectificadores.png"
set format x "%3.0f"
set format y "%3.1f"
set ytics 2.5
set multiplot layout 3,1
set ylabel "V Amplitud"
set xlabel "Onda Senoidal" 0,0.5
plot [][]f(x) t ’ei’,0 lw 2 t ’Vdc=0’,Vp/sqrt(2) lw 3 t ’Vac’

set xlabel "Rectificación Media Onda" 0,0.5


g(x) = f(x)-0.6>0 ? f(x)-0.6: 0
VR = Vp-1.2
plot [][0:Vp]g(x) lw 2 t ’eo’, VR/pi lw 2 t ’Vdc’, VR/2 lw 3 t ’Vac’

set xlabel "Rectificación Onda completa" 0,0.5


h(x) = abs(f(x))-1.2 >0 ? abs(f(x))-1.2 :0
plot [][0:Vp]h(x) lw 2 t ’eo’, 2*VR/pi lw 2 t ’Vdc’, VR/sqrt(2) lw 3 t ’Vac’
unset multiplot
set output
set terminal x11
48 FIS241 Electrónica General

2.5.2 Graficadores - Ejemplo 2, Reguladores.gp


#Reguladores.gp
#FIS241 Electronica General, Carrera de Fı́sica
#FCPN-Universidad Mayor de San Andres, La Paz Bolivia
#Docente. Ing. Pedro Miranda, marzo 2020

reset
set terminal png
set output "ondas-regulador100ms.png"

set grid x,y


set ytics 2
set format x "%3.0f"

set multiplot layout 2,1


set ylabel "V Amplitud"
set xrange [0:60] #hasta 60ms 3 periodos
set title "Regulador de media onda, tau=100ms" 0,-1
set xlabel "Tiempo en ms" 0,0.5
Vp=10 # V de pico
R=100 # ohmios
C=1000 # uf
tau=R*C/1000. #en ms
a=1/tau #alfa o 1/tau
T=20 #Periodo T=20ms, f=50Hz
w=2*pi/T
h(x)=Vp*sin(w*x)
f(x)=x-T/4-T*floor((x-T/4)/T) #tiempo en ms
g(x)=Vp*exp(-a*f(x)) #exp(t/tau)

Vl=Vp*exp(-a*T)
Vrp=(Vp-Vl)/2
Vdc=Vl+Vrp
Vac=Vrp/sqrt(3)
set xtics T/4
plot [][0:Vp+1]h(x) w lp 0, g(x) w l lt 1 t ’eo’, Vl lw 1, Vdc lw 3 ,Vac lw 2

#........................ Onda completa ....

T=10 #para generar exp(-t/tau)


Vl = Vp*exp(-a*T)
Vrp = (Vp-Vl)/2
Vdc = Vl+Vrp
Vac = Vrp/sqrt(3)
h(x)= Vp*abs(sin(w*x))
f(x) = x-T/2-T*floor((x-T/2)/T) #tiempo en ms
g(x) = Vp*exp(-a*f(x)) #exp(t/tau)
set title "Regulador de Onda Completa tau=100ms" 0,-1
set xlabel "Tiempo en ms" 0,0.5
plot [][0:Vp+1]h(x) w lp 0,g(x) w l lt 1 t ’eo’, Vl,Vdc lw 3,Vac lw 2
unset multiplot
set output
set terminal x11
P. Miranda 49

2.5.3 Graficadores - Ejemplo 3, Reguladores-tau.gp


# Reguladores-tau.gp , P. Miranda
reset
set terminal png
set output "ondas-reg-tau.png"

set grid x,y


set ytics
set format x "%3.1f"
set format y "%4.0f"

set multiplot layout 2,1 title "Estudio del Rizado (Ep Vs tau)"
set ylabel "Ep Rizado (%)\n"
Vp=100 # V de pico o 100%
#Epp=Vp*(1-exp(-t/tau))

f(x)=Vp/2.*(1-exp(-1/x))
g(x)=Vp/2.*(1-exp(-1/x/2.))
set log x
plot [0.1:100][0:0.6*Vp]f(x) t ’media onda’ ,g(x) lw 3 t ’Onda Completa’
set xlabel "n = Tau/T [escala log ]" 0,0.5
set log y
set format y "%3.1f"
set ylabel "Ep Rizado (%)\n [escala log]"
plot [0.1:100][0.1:0.6*Vp]f(x) t ’media onda’ ,g(x) lw 3 t ’Onda Completa’
unset multiplot
set output
set terminal x11
50 FIS241 Electrónica General

2.6 Polarización del Transistor NPN


En base al transistor NPN se desarrollará todo este tema de amplificación introductoria, se explicará
la polarización y luego la amplificación, desde un punto de vista práctico, utilizando correctamente las
ecuaciones emergentes de análisis de mallas y nudos. La potencia del transistor está determinado por el
fabricado y codificado bajo un número alfanumérico. En este apunte de cátedra se delimitará la potencia
del transistor a 300mW, los voltajes de lı́mites están confinados dentro de 12V, los datos del transistor
son; hie , hf e = β y máximos de Ic . El transistor es el NPN denominado transistor bipolar de
juntura BJT.
En los textos de la materia se encuentran otros ejemplos que forman parte del curso.

Rc

Vc
Rb
Vb B C Ic Ic = B · Ib
Vce
Vcc
Vbb
Vbe
E Ie = Ib + Ic
Ve
Ib
Ie
V cc = VRc + V ce (2.13)
V bb = VRb + V be
V be = 0.6V
Figure 2.17: Polarización de un transistor
NPN

Ic

Ib Las curvas del circuito entre base y


emisor responden a un diodo
Ib V be
Ib = Io · (e V m − 1) (2.14)

Vd Vc
Donde V m = nkt/q
Entre colector y emisor a una fuente de
corriente como se vera mas adelante.
Figure 2.18: Curva B-E y C-E del transistor NPN
P. Miranda 51

2.7 Autopolarización

Vcc

Ic
Rb Rc Ib = = 50uA
Ic = B · Ib B
Ic Vc
V cc = VRb + V be V cc
V ce = = 6V
Vb Ib 2
V cc = VRc + V ce
V cc − V be
V be = 0.6 (2.15) Rb =
Ve Ib
Ie B = 100 Rb = 228KΩ
Ic = 5mA V cc − V ce
Rc =
V cc = 12V Ic
Figure 2.19: Auto polar- Rc = 1.2kΩ
ización usando solo Vcc

Ic

Vbb/Rbb
Vcc/Rc

Ib

Q Ib

Vd Vbb Vc Vcc

Figure 2.20: Recta de carga del circuito

El voltaje de polarización de diodo entre base y emisor V b produce la corriente Ib , esta es proyectada
a la recta de carga en colector y se logra el punto Q de polarización, le corresponde una corriente Ic ,
según las consideraciones de polarización.

2.7.1 Autopolarización estable a la temperatura


Con la finalidad de mejorar la estabilidad a la temperatura, en el el circuito 2.21 se adiciona una resistencia
R2 para crear un voltaje de referencia Vb estable, incrementando la corriente que circula por R1.
Se ha usado una relación de I1 = 10 · Ib , luego I1= I2 +Ib resultando que I2 = 9 · Ib esta propor-
cionalidad es recomendación del estudio de estabilidad.

2.7.2 Autopolarización con Resistencia en emisor


Introduciendo una resistencia pequeña en el emisor, en polarización altera un poco, pero la amplificación
altera significativamente como se lo verá. Por tanto la polarización adquiere las ecuaciones siguientes:
52 FIS241 Electrónica General

Vcc

Ic = B · Ib I1 = 10 · Ib
Rc
R1 V cc = VR1 + VR2 I2 = 9 · Ib
I1 Ic V cc = 10V
Vc V cc = VRc + V ce
Vb Ib Ic = 5mA
I1 = I2 + Ib
R2
Ve
V cc − VR2 (2.16) B = 100
R1 =
I2 I1
Ie
VR2 Ib = 50uA
R2 =
I2 R1 = 18.8KΩ
V cc − V ce
Figure 2.21: Auto polar- Rc = R2 = 1.3KΩ
Ic
ización estable Rc = 1KΩ

Vcc Ic = B · Ib
V cc = 10V
V cc = VR1 + VR2
Ic = 5mA
Rc V cc = VRc + V c
R1 B = 100
I1 Ic Vc V c = V ce + V e
Ib = 50uA
Vb Ib V b = VR2 = V e + V be
V c = V cc/2 = 5V
I2
V cc − VR2
R1 = V e = V c/10 = 0.5V
R2 Ve I1
VR2 (2.17) I1 = 10 · Ib
Re R2 =
Ie
I2 I2 = 9 · Ib
V cc − V c Rc = 1KΩ
Rc =
Ic
Ve Re = 100Ω
Figure 2.22: Auto po- Re = Ie VR2 = V b = 1.1V
larización del transistor NPN Ie = Ib + Ic R1 = 17.8KΩ
con resistencia en emisor
I1 = I2 + Ib R2 = 2.4KΩ

2.8 Amplificación
La amplificación consiste en amplificar un voltaje pequeño en otro mayor, sin embargo el proceso del
transistor NPN es amplificar corriente con la relación Ic = B · Ib . En bloques podemos representar
mediante la figura 2.23

ein
eout
Av
eout Av = (2.18)
Zin Zout ein
Iout
AI = (2.19)
Iin
Figure 2.23: Esquema en bloque de un amplificador Zout
AZ = (2.20)
Zin

En la entrada ein existe una corriente de entrada ein e impedancia de entrada Zin, en la salida eout
una corriente de salida Iout e impedancia de salida Zout. ası́ como existe ganancia de voltaje existe
ganancia de corriente y ganancia o transferencia de impedancia
Una representación para estudio entre la entrada y la salida son los cuadripolos. Entrada o salida se
P. Miranda 53

representa con circuitos en serie o paralelo.


En nuestro caso particular el transistor es representado por el cuadripolo hı́brido especialmente en fre-
cuencias medias, como se observa en la figura 2.24 .

B Ib Ic
C Ib Ic
+
B C
+
+
hie hfe Ib
+
+ -1 hie
hoe hfe Ib
hre Vce

- E - - E -

Figure 2.24: Circuito equivalente hı́brido del transistor, completo (izq.) y reducido (der.)

En la práctica se usa el circuito reducido, la entrada se reduce a una resistencia hie anulando la fuente
hre ∼= 0 por ser muy pequeña la influencia, la salida a una fuente de corriente hf e · Ib y anulando
la resistencia hoe ∼= 0 (conductancia infinita), y Con hf e ∼ = β se logra reducir cálculos y tendremos
aproximación razonable.
Los circuitos anteriores se convierten en amplificadores de voltaje introduciendo señal alterna en la base
usando un capacitor C1 como acoplador de señal alterna, evitando despolarización del circuito debido a
la resistencia baja de ein . La salida se toma en el colector.

Vcc
Vcc Vcc
Vb
Rb Rc
Rc Rc
R1 R1
Ic eo
eout Ic eo I1 Ic
C1 I1
Ib Vc C1 C1
Ib Vc
Vb Ib Vc Vb

I2 I2 Ve
Ve ei ei
Ie Ve R2 Re
ei R2
Ie Ie

Figure 2.25: Amplificadores con señal de entrada en la base del transistor y salida en el colector.

2.8.1 Cuadripolos
Se incluye este apartado como ilustración, no como tema a conocer con las aplicaciones, es para acercarnos
al equivalente del transistor.
Consideramos el cuadripolo de la figura 2.26, este dispone dos entradas y dos salidas, se supone que
dentro del cuadripolo existen componentes activos y pasivos.
Las ecuaciones fundamentales del cuadripolo relacionan las cuatro magnitudes caracterı́sticas; Magnitudes
de entrada: U1, I1 y Magnitudes de salida: U2,I2
Para su estudio, mediante los métodos de mallas y nudos se construyen tres tipos de matrices de;
admitancia , impedancia , y transferencia . Este último se usa como matriz hı́brida para el transistor.

Cuadripolo pasivo
Están basadas en el uso de elementos pasivos R,L,C, basta que haya un elemento se estudia desde el
punto de vista de cuadripolo. Como ejemplo en la figura 2.26 se consideran el cuadripolo en bloques y
dos cuadripolos básicos en T y en Π .
54 FIS241 Electrónica General

I1 I2

Z1 Z2 Z
U1 U2 Y Y1 Y2

Figure 2.26: Cuadripolos ilustrativos, general, en T y en Π

Matriz admitancia del cuadripolo Es similar a considerar dos nudos, en la entrada y la


salida, y se tienen:
     
I1 y y12 U
= 11 × 1 o [I] = [y][V ] (2.21)
I2 y21 y22 U2

Matriz impedancia del cuadripolo


     
U1 z z12 I
= 11 × 1 o [V ] = [z][I] (2.22)
U2 z21 z22 I2

Matriz transferencia del cuadripolo


           
U1 A B U U2 D −B U
= × 2 y = × 1 (2.23)
I1 C D I2 I2 −C A I1

Encontrando los coeficientes de cada matriz por separado, se pasa a combinar, supongamos dos cuadripo-
los y las posibilidades de; serie, paralelo y secuencial, resultará sencillo el análisis mediante cuadripolos
usando el álgebra matricial.

Cuadripolo activo
Para el transistores, debido a la ganancia de corriente, la forma de representar su circuito equivalente es
usando la matriz hı́brida;
         
U1 h h12 I U1 I
= 11 × 1 o = [M ] 1 (2.24)
I2 h21 h22 U2 I2 U2

El circuito se encuentra representado en la figura 2.28 caso a) ; h11 es la impedancia de la entrada, h12
es la transferencia de voltaje de salida o aporte en la entrada , h21 es la ganancia de corriente directa y
h22 es la conductancia en la salida.

El transistor puede ser usado en forma de; base común, colector común y emisor común, como se indica
en la fig.2.27, estos tienen cada uno su circuito equivalente como se describe en la fig.2.28.

Base Comun Colector Comun Emisor Comun

C B C C
B B

E E E

Figure 2.27: El transistor BJT, como cuadripolo activo

Para continuar con el análisis del transistor como amplificador optaremos por el uso de emisor común.
P. Miranda 55

Figure 2.28: Circuito equivalentes para: a) General, b) Base común, c) Colector común, y d)
Emisor común

2.8.2 Amplificador simple


Analizando el primer circuito de la fig. 2.25 de la siguiente manera;
Para la señal alterna, con fines de amplificación se toma en cuenta dos aspectos que diferencia la corriente
continua de la alterna, estos son;
a) Las fuentes de voltaje continuo se cortocircuitan.
b) Las fuentes de corriente continua se abren.
Con esta recomendación, se introduce el equivalente del transistor en media frecuencia y se obtiene los
circuitos de la fig. 2.29, para el tercer circuito se tienen las ecuaciones de entrada y salida.

hie = 500Ω
ei = hie · ib ei = (Rb||hie)is
hf e = 100
eo = −Rc · ic Zin = hie
(2.25) Rc = 1.2KΩ
ic = hf e · ib Rb >> Zin
Av = −240
eo hf e Zout = Rc
Av = − = − Rc Ai = hf e
ei hie
La reducción a las cuatro ecuaciones simples se debe a las consideraciones; en la entrada si is es la
corriente de la fuente ei y va por Rb y hie, debemos tomar el paralelo, pero se ve que por los valores
Rb es mucho mayor que hie además que ei consideraremos ideal.

En la siguiente gráfica, se incluye la polarización obtenida en el punto Q y la señal de entrada y salida.

La señal de entrada ei a través de hie produce la corriente de entrada ib , esta corriente se proyecta a

Ic eout Ic eout
C Ib Ib
B
hie ein hie
E
ei Rb ein hfe Ib hfe Ib
Rc Rb Rc Rc
Ie Ie

Figure 2.29: Etapas de análisis alterno con circuito equivalente del transistor
56 FIS241 Electrónica General

Figure 2.30: Recta de carga y señal alterna

la recta de carga y genera la corriente ic , luego la corriente ic fluye sobre la resistencia Rc formando
el voltaje de salida eo (o ec ) amplificada e invertida frente a ei , como se indica en las ecuaciones;

hf e
eo = −Av · ei eo = − Rc · ei (2.26)
hie
este proceso es la base de la amplificación con el transistor BJT y otros.

2.8.3 Amplificador estable a la temperatura


El segundo circuito en cuestión fig. 2.25, usando el circuito equivalente tiene el mismo desarrollo fig.2.31.

Ic eout Ic eout
C Ib Ib
B
hie ein hie
E
ein Rc ein Rb hfe Ib hfe Ib
Rc Rc
R1 R2
Ie Ie

Figure 2.31: Secuencia del análisis

Notamos que la única diferencia es la resistencia en la entrada formada por el paralelo Rb = R1||R2 ,
haciendo que Rb ya no es mucho mayor que hie , sin embargo haciendo ideal ein también puede
analizarse de la misma manera.

ei = Zi · is
eo = −Rc · ic ei = Zin · is hie = 500Ω
ic = hf e · ib Zin = (Rb||hie) hf e = 100
ei (2.27) Rb > hie Rc = 1.2KΩ
ib =
hie Zout = Rc Av = −240
eo hf e Ai = hf e
Av = − = − Rc
ei hie

2.8.4 Amplificador con resistencia en emisor


Este es el amplificador general sobre el que se trabajará, el hecho de incluir una resistencia, el análisis
cambia como en la fig.2.32
P. Miranda 57

Ic eout Ic eout
C Ib Ib
B
hie ein hie
E
ein Rc ein hfe Ib hfe Ib
Rc Rc
R1 R2 Rb
Re Ie Ie
Re Re

Figure 2.32: Amplificador universal del transistor BJT NPN

Las ecuaciones son;

hie = 500Ω
ei = hie · ib + Re(ib + ic)
−Rc·hf e hf e = β = 100
eo = −Rc · ic Av = hie+Re(1+hf e)
Vb = 1.1V
ic = hf e · ib 1 + hf e ≈ hf e
R1 = 17.8KΩ
ei = (hie + Re(1 + hf e)) · ib Re · hf e > hie
(2.28) R2 = 2.4KΩ
ei = Zi · ib Zi ≈ Re · hf e
Rc = 1KΩ
Zi = hie + Re(1 + hf e) Zout = Rc
−Rc
Re = 100Ω
eo −Rc · hf e Av = Re −1000Ω
Av = = Av = = −10
ei Zi 100Ω
En resumen, la ecuación de ganancia se reduce a una simple fracción de resultado aproximado de; re-
sistencia de colector sobre la resistencia de emisor, claro considerando las aproximaciones necesarias.
−Rc
Av = (2.29)
Re
Al considerar este amplificador tı́pico, y la ecuación 2.29 los cálculos mas bien pueden ser calculadas
según las consideración de la ganancia.

2.9 Amplificador tı́pico


Todo amplificador, considera acoplamientos en la entrada y en la salida, en la entrada Zi n y en la salida
Zo ut , este efecto reduce las ganancias pero considerando y calculando nuevamente se logra las ganancias
correcta.
Para el acoplamiento se usan en la entrada el capacitor C1 y en la salida el capacitor C2, se dice de
acoplamiento en alterno, pero por que al conectar la fuente de baja resistencia desacopla la corriente
continua y en la salida al conectar RL no despolariza la salida.
La figura 2.33 contempla un amplificador tı́pico, acoplando la entrada y la resistencia de carga RL. La
polarización es similar al anterior, y los valores inicialmente podemos considerar los mismos

Las ecuaciones resultan:

is = iRb + ib
Zi = hie + Re(1 + hf e)
ei
iRb = Zout·(−hf e·ib)
Av = Zin·( Zi +1)·ib −(Rc k RL) · hf e
Rb Rb Av =
ei −Zout·hf e hie + Re(1 + hf e)
ib = Av = Zin·( Zi (2.32)
Zi Rb +1) hie + Re(1 + hf e) ≈ Re · hf e
Zi Av = −(RckRL)·hf e
is = ( + 1) · ib Zi −(Rc k RL)
Rb Av ∼=
(2.31) Re
Nuevamente la ecuación resultante es la relación de la resistencia de salida sobre la resistencia de emisor.
Mediante esta podemos recalcular los valores de polarización.
El efecto de RL lo dibujamos sobre la recta de carga, la amplitud esta reducida y la recta de carga es
dinámica.
58 FIS241 Electrónica General

Vcc

Rc
R1
I1 Ic
C2 eo Zout = Rc k RL
C1
Vb Ib Vc Zin = Rb k Zi
I2 Ve
ei RL
Zi = hie + Re · (1 + hf e)
R2 Re eo (2.30)
Ie
Av =
ei
Zout · (−ic)
Av =
Zin · is
Figure 2.33: Amplificador tı́pico con re-
sistencia de carga RL
B ib C
eo ic

Q hie
ei E hfe
ei
Rc RL Rc||RL
Re Re
R1 R2
Rb

Figure 2.34: Circuito equivalente del amplificador tı́pico

Ic
IcMax

Q Ib

Vcc

ec

Figure 2.35: Curva de recta de carga para ganancia de voltaje

Emisor común con capacitor en emisor Con la finalidad de mejorar la ganancia Av , en


el circuito tı́pico adicionamos un capacitor en paralelo a Re, La reactancia de este capacitor es baja,
entonces Re k Xce se hace despreciable, se crea una tierra virtual en el emisor, la ecuación de ganancia
vuelve a ser la misma de emisor común. ver fig. 2.36

Vcc

ib ic
Rc eo
R1 B C
eo Q
I1 Ic
C1 ei ei
Ib Vc hie
Vb
hfe ib Rc
I2 Ve
Rc Rb E
ei R1 Re
R2 Ce
R2 Re
Ce
Ie

Figure 2.37: circuito equivalente con tierra virtual en


Figure 2.36: Amplificador emisor
con Capacitor en emisor
P. Miranda 59

Frecuencia bajas Frecuencias medias


eo = Rc · (−ic) (Re k Xce)(1 + hf e) << hie
ic = hf e · ib ei ∼
= hie · ib
ei = [hie + (Re k Xce)(1 + hf e)] · ib Zin = Rb k hie (2.33)
−Rc · hf e · ib −Rc · hf e
Av = Av =
hie + (Re k Xce)(1 + hf e) hie

La ecuación es nuevamente dependiente de los parámetros del transistor, hf e y hie . Sin embargo, esto
permite tener otro control en emisor, por ejemplo subdividir en dos la Re parara que en bajas frecuencias
se tenga menor ganancia y en frecuencias medias mayor ganancia Av = eo ei .

2.10 Amplificación Colector Común


El colector común es anclar el voltaje de colector a la tierra y encontrar las ganancias según este esquema.
El colector común también denominado seguidor de emisor tiene su entrada por base y salida por emisor,
es usado para adaptar etapas que requieren alta impedancia a la entrada y baja impedancia en la salida.
En el circuito de la figura 2.38 se muestra tres tipos de conexión alimentado desde una sola fuente Vcc
para la autopolarización.

Vcc Vcc Vcc

Rb R1 Rc
R1 Cc
I1 Ic Ic
Vc I1 Vc I1 Ic
C1 C1 Vc
Vb Ib Ib C1
Vb Vb Ib
Ve Ve
eo I2 Ve C2
eo I2 eo
ei ei ei
Re R2 Re R2 Re
Ie RL
Ie Ie

Figure 2.38: Modelos de amplificador en colector común

El primer circuito, es el mas simple, se polariza solo con tres componentes, mediante un capacitor C1 se
acopla la señal de entrada y la salida es por emisor. El análisis en alterno se muestra en la figura 2.39

Ib Ic
hie

ei hfe ib

ei eo
Rb
Re Rb Re

Figure 2.39: Circuito equivalente de colector común

Las ecuaciones de polarización y amplificación son.


60 FIS241 Electrónica General

eo = Re · ie
V b = V be + V Re
Zin = Rb k Zi
Ie = Ic + Ib
Zi = hie + Re(1 + hf e)
Ic = β · Ib
Zout = Re
V e = V cc/2
ei = Zi · ib
V cc = 5V
(2.34) io ie (2.35)
Ic = 3mA Ai = = = hf e
ii ib
β = 100 eo Re · ie
Av = =
Ib = Ic/β = 30uA ei Zi · ib
Re = V e/Ie = 830Ω Re · (1 + hf e)
Av =
hie + Re(1 + hf e)
R1 = (V cc − V b)/Ib = 63KΩ

Av = 1
La ganancia de voltaje Av = 1 , la ganancia de corriente es Av = hf e , la impedancia de entrada
Zin ∼
= Rb puesto que Zi ∼= Re · hf e ∼
= 100KΩ , y la impedancia de salida es Zout = Re .

En el segundo circuito Rb = R1 k R2 y Zin = Rb k Zi con Zi >> Rb se reduce que Zin = Rb ,


pero Rb ∼= R2 , esto indica que la impedancia de entrada depende de R2 .

En el tercer circuito como se puede ver el colector tiene la Rc en paralelo a un capacitor Cc , este
capacitor en frecuencia media Xc << Rc por lo que se crea una tierra virtual en colector. La salida
Zout = Re k RL es usado para adaptar impedancias de salida Re a otra RL , por ejemplo al conectar
a un cable coaxial de 50Ω , para esto incluso Re debe ser de 50Ω . Sin embargo las ecuaciones son las
mismas, y la ganancia Av = 1 y Ai = hf e .

2.11 Amplificación Base Común


Como base común se entiende que la base V b = 0 se conecta a tierra o a una tierra virtual, en la figura
2.40, el primer circuito, se ve que la base esta a tierra y usa dos fuentes de polarización positiva V cc
y negativa −V ee voltajes de acuerdo a necesidades. El voltaje del emisor V e automáticamente es el
voltaje de diodo 0.6V, la corriente Ie = Ib + Ic .

Vcc Vcc
Vcc

Rc RL
Rc Rc
R1 R1 C2
Ic eo eo eo
I1 Ic Ic
Vc Vc I1
Vb Ib Ib Vc
Vb Vb Ib
Ve Ve RL
Ve C1
ei Cb I2
Cb ei
Ie
Re R2 Re
Re ei
Ie Ie

-Vee

Figure 2.40: Modelos en Base común

La entrada de la señal es vı́a emisor, y la salida es vı́a colector, para este caso en la figura 2.41 se muestra
el circuito equivalente del análisis.

Las ecuaciones de polarización y ganancia de voltaje son:


P. Miranda 61

Figure 2.41: Circuito en alterno en Base común

Vb=0
− V ee = V be + V Re
eo = Rc · (−ic)
V cc + V ee = V Rc + V ce + V Re
Zin = Re k hie
Ie = Ic + Ib
Zout = Rc
Ic = β · Ib
Ai = 1
V e = −V be = 0.6V
(2.36) eo Rc · (−ic) (2.37)
V cc = 5V Av = =
ei Re(1 + hf e) · ib
V ee = −5V −Rc · hf e
Av =
Ic = 3mA, β = 100 hie + Re(1 + hf e)
Ib = Ic/β = 30uA −Rc
Av =
Re = (V ee − V e)/Ie = 1.5KΩ Re
Rc = (V cc − V c)/Ic = 830Ω
En el segundo y tercer circuito de la figura 2.40 se tiene la autopolarización desde una sola fuente, y para
generar la tierra virtual se incorpora un capacitor Cb , este ara la corriente alterna es un cortocircuito,
si Xc < Rb . luego el análisis es el mismo considerando las salidas y entradas.

2.12 Amplificación Multietapa

e1 e2 e3 e4
ei A1 A2 A3 eo
Z1i Z1o Z2i Z2o Z3i Z3o

Figure 2.42: Amplificador Multietapa

Los amplificadores pueden ser puestos en cascada con algún fin, si fuera amplificación de voltaje para
amplificar una señal baja, las ecuaciones serán.

eo e4 e3 e2
Av = , Av = · · , Av = Av1 · Av2 · Av3 (2.38)
ei e3 e2 e1
Si las tres etapas son similares por ejemplo Av1 = 20 , la ganancia total será Av = 20 · 20 · 20 resulta
Av = 8000 .
Las impedancia de entrada Zin de cada etapa se convierten en la impedancia de carga ( RL ) como se
vio antes.

De la misma forma, si se trata de acoplar en la entrada y en la salida, el amplificador de entrada será de


ganancia unidad Av1 = 1 pero ganancia de impedancia, el segundo si tendrá ganancia Av2 = 20 , el
tercero Av3 = 1 compensando con Ai = hf e .
62 FIS241 Electrónica General

Acoplamiento Entre etapa y etapa pueden ser; dc y ac , dc es de corriente continua y las bases
de los transistores de Av2 y Av3 se conectan directamente a la salida. En el caso alterno se usa el
capacitor de acoplamiento entre cada etapa, como en la figura 2.43.

C2
C3
e1 e2 e3 e4
ei A1 A2 A3 eo

Z1i Z1o Z2i Z2o Z3i Z3o

Figure 2.43: Amplificador Multietapa acoplamiento alterno


Chapter 3

AMPLIFICADORES OPERACIONALES
3.1 Introducción
Es el uso de un componente objetivamente elaborado para simplificar el uso de los amplificadores con
transistores, se basa en el Amplificador Diferencial (AD), cada etapa dos transistores, uniendo en cas-
cada se logra el Amplificador Operacional (AO). Este componente fue ampliamente usado para amplificar
señales de media frecuencia, en la actualidad se dispone de AO para alta frecuencia. Como su nombre lo
describe, realiza todas las operaciones matemáticas conocidas, lo que ha facilitado la solución de ecua-
ciones diferenciales ordinarias (EDO), actualmente podemos simular EDO de segundo grado fácilmente
como se lo verá.
Otro campo importante en el que se utiliza el AO es en circuitos instrumentales, creando de esta forma
estaciones de medida de diferentes variables, especialmente previo a los conversores análogo en digital
(ADC).
Pasamos a desarrollar los temas, para que en un laboratorios se pueda practicar las experiencias.

3.2 Equivalente del Amplificador Operacional


El Amplificador Operacional es un conjunto de Amplificadores Diferenciales (AD) conectados en cascada
para lograr una amplificación de voltaje alta.Se disponen dos entradas ambas por la base de transistores,
lo cual genera una alta impedancia de entrada, en la salida se simula una fuente de baja impedancia y
voltaje de salida alta. En la figura 3.1 se muestra la sı́ntesis.

e− = Entrada.N egativa
e+ = Entrada.P ositiva
Zin > 105 Ω
Av = Ganancia.Interna.del.AO
Av > 105
Rs = Resistencia.de.salida
Figure 3.1: Esquema del Amplificador eo = V oltaje.de.salida
Operacional V cc = Aliment.positiva
V ee = Aliment.negativa

La ecuación resultante mas destacada es el voltaje de salida tomando en cuenta la ganancia interna Av
con un alto valor;
eo = Av · (e− − e+ ) (3.1)
El dato Av es el producto de las ganancias internas, asumiendo que el AO tiene cuatro etapas similares
de ganancia 20 (A1=A2=A3=A4=20) tenemos 204 = 16 · 104 este valor ya es alto, claro en el caso de
circuito abierto.
Este A.O. es usado ampliamente por que simplifica el diseño de amplificadores y reducen etapas.

3.2.1 Amplificador Diferencial


Un Amplificador Diferencial esta formado por dos transistores. las bases son las dos entradas y en colector
las dos salidas, unidos por una sola resistencia en emisor, con las salida por los colectores se obtienen dos
salidas complementarias.

63
64 FIS241 Electrónica General

3.3 Amplificadores Operacionales


Las operaciones matemáticas que realiza el A.O. son; sumas, restas, inversión, derivadas, integrales, log-
aritmos, multiplicación, división.
Ejemplo de suma:

eo = a1 · e1 + a2 · e2 + a3 · e3 + a4 · e4 + a5 · e5 (3.2)
Los coeficientes a1 , a2 , a3 , a4 , a5 pueden ser positivos o negativos, con lo cual estamos construyendo el
sumador restador inversor.
De igual forma usando un capacitor o una bobina, se construye los derivadores e integradores. Con todas
estas opciones podemos también realizar solución de ecuaciones diferenciales y simular lo prendido en
mecánica.

3.3.1 Amplificador inversor


Es el primer uso que analizamos según el método de nudos, el único nudo es en la entrada e− del A.O.

Σi = 0
i1 + i2 + i3 = 0
ei − e− eo − e− e+ − e−
+ + =0
R1 RF Zin
e+ = 0 (3.3)
eo
e− − e+ = ≈0
Av
Figure 3.2: Amplificador inversor e− = e+
eo −RF
=
ei R1

Incorporamos el concepto de la ganancia de Voltaje ya sea con la letra A o G, pero como se puede ver,
está relacionado con los únicos componentes de realimentación y el de entrada, generalizando tenemos
que A = eeoi .
ZF
A=− (3.4)
Z1
El signo negativo determina que es un amplificador inversor.
El caso particular es cuando ZF = Z1 tenemos un inversor, A = 1 y eo = −ei

3.3.2 Amplificador no inversor


La entrada de la señal es por la entrada positiva e+ , la entrada negativa se aterra, por lo tanto el único
nudo vuelve a ser el de la entrada e− , el circuito y las ecuaciones son:

La ecuación que se deduce es la de la ganancia de voltaje, esta es.


RF
G=1+ G = 1 + |A| (3.5)
R1
asumiendo que la ganancia inversora es A=-RF/R1. expresamos con G la ganancia positiva.

3.3.3 Amplificador restador


Tenemos dos nudos que analizar justo las entradas e− y e+ , asumiendo que i3 = 0 debido a que
e− −e+
zin es pequeño, en un caso se impone la suma de corrientes y en el otro el divisor de voltaje.
P. Miranda 65

RF

R1 i1
i2 Σi = 0
eo i1 + i2 + i3 = 0
i3
ei AO ei = e+ = e−
0−e− −e− + −e−
R1
+ eoRF + e Zin =0
+ −
−e
i3 = e Zin ≈0
eo RF
Figure 3.3: Amplificador no inversor ei
= 1 + R1

R1 i1 i2 RF i3 = 0
e1
i1 + i2 = 0
i3
eo ei − e− eo − e−
R2 i5 i4 + =0
e2 R1 RF
AO
i6 ei eo 1 1
R3 + − e− ( + )=0 (3.6)
R1 RF R1 RF
e+ = e− = α · e2
R3
Figure 3.4: Restador, usa ambas en-
α=
R2 + R3
tradas RF RF
eo = − · e1 + (1 + ) · α · e2
R1 R1

Destacamos algunas igualdades, primero que la ganancia es algo esperado de las ecuaciones anteriores,
esto da;
eo = G · α · e2 − |A| · e1 o eo = a2 · e2 − a1 · e1 (3.7)

el resultado mas simple es pensar que si toda las resistencias son iguales, α = 1/2 y luego |A| = 1 ,
G = 2 y la ecuación es el restador:
eo = e2 − e1 (3.8)

Esta ecuación será de gran utilidad mas adelante.

3.3.4 Amplificador sumador inversor


Tal como ya se realizó anteriormente el circuito y las ecuaciones serán:

R1 i1 i3 RF
e1
i1 + i2 + i3 + i4 = 0
R2 i2 i4
e2 i4 = 0;
eo
e− = e+ = 0
AO e1 e2 eo (3.9)
+ + =0
R1 R2 RF
RF RF
Figure 3.5: Sumador inversor eo = − e1 − e2
R1 R2

Expresando matemáticamente como;

eo = −a1 · e1 − a2 · e2 (3.10)
66 FIS241 Electrónica General

donde A1 = − RF RF
R1 y A2 = − R2 , son las ganancias inversoras individuales, como en anteriores casos
suponiendo que las ganancias son iguales a la unidad, tendremos;
eo = −(e1 + e2 ) (3.11)

3.3.5 Amplificador sumador noinversor


Como en el no inversor el circuito y las ecuaciones se presenta en la figura 3.6;

i1 + i2 = 0
R1 i1 i2 RF e− = e+
eo 1 1
i3 − e− ( + )=0
eo RF R1 RF
R2 i5 i4 RF −
e1
AO
eo = (1 + )e
i6 R1
e2 i7 i5 + i6 + i7 = 0 (3.12)
R3
R4 e1 − e+ e2 − e+ 0 − e+
+ + =0
R2 R3 R4
1 1 1 1 1
− e+ ( + + ) + e1 + e2 =0
R2 R3 R4 R2 R3
Figure 3.6: Sumador noinversor Y2 Y3
e+ = e1 + e2
Y2+Y3+Y4 Y2+Y3+Y4

La ecuación aun no esta resuelta, pero conceptualmente podemos relacionar;


eo = G · e− ; e− = e+ ; eo = G · (α1 · e1 + α2 · e2 ) (3.13)
tambien definir una operación aritmética como solución.
eo = a1 · e1 + a2 · e2 (3.14)
Además si G · α1 = 1 y G · α2 = 1 entonces la ecuación se simplifica a eo = e1 + e2 .

Con la finalidad de captar los divisores de voltaje debido a las dos entradas e1 y e2 ,veamos la ilustración
gráfica de la figura 3.7.

R3 R3
R2 R2 e2 e+
e1 e+ e2 e+
e1 e+

e2 Ry
R3 R3 R2 R4
R4 R4

Figure 3.7: Divisor de voltaje

En e+ existe un divisor de voltaje de dos fuentes entonces es la suma de los divisores de voltaje, es decir:
e+ = α1 · e1 |e2 =0 + α2 · e2 |e1 =0 (3.15)
por tanto los divisores de voltaje contienen resistencias en paralelo.
Rx Ry
Rx = R3||R4; α1 = ; Ry = R2||R4; α2 = (3.16)
R2 + Rx R3 + Ry
desarrollando nuestras ecuaciones de α1 y α2 , encontramos que:
Rx Y2 Ry Y3
= y = (3.17)
R2 + Rx Y2+Y3+Y4 R3 + Ry Y2+Y3+Y4
P. Miranda 67

Es decir que esta vı́a es mas simple el análisis y tendremos que la ganancia del circuito de la figura 3.6:

RF RF
eo = (1 + )α1 · e1 + (1 + )α2 · e2 (3.18)
R1 R1
Asumiendo que RF=2R1 y las resistencias R2=R3=R4;

RF 1
1+ = 3; α1 = α2 = ; eo = e1 + e2 (3.19)
R1 3

3.4 Amplificador integrador/derivador


Utilizando un capacitor o una bobina en lugar de RF o R1 del amplificador inversor se construye un
derivador o un integrador. En este sentido apuntamos las reactancias mediante la transformada de
Laplace.
Para el caso del capacitor:
R
Q i(t)dt i(s) 1 1
ec (t) = = ; ec (s) = = i(s); Xc (s) = (3.20)
C C SC SC SC
Haciendo de forma similar para la bobina y extrayendo la reactancia para i(0+ ) = 0 , tenemos:

di(t)
eL (t) = L ; eL (s) = L(S · i(s) − i(0+ )) = SL · i(s); XL (s) = SL (3.21)
dt
De esta forma se podrá utilizar la ganancia mas simple:

Zf (s)
A(s) = − (3.22)
Z1 (s)

3.4.1 Integrador con capacitor


El circuito y las ecuaciones de un integrador se describen en la figura 3.8.

i1 + i2 + i3 = 0
e− = e+ = 0
eo ei
1 + = 0
SC
R1
1 ei (s)
eo (s) = −
SC R1
1 ei (s)
eo (s) = −
Figure 3.8: Integrador con capacitor R1 · CZ S
1
eo (t) = − ei (t) · dt
R1 C

Alternativamente podemos utilizar la ganancia de voltaje y obtenemos igual:


Z
Xc (s) eo (s) 1 1
A(s) = − ; =− eo (t) = − ei (t) · dt (3.23)
R1 ei (s) R1 CS R1 C

Como en temas anteriores, identificamos el valor τ como el producto de R1 C , dato en tiempo, τ =


R1 C .
68 FIS241 Electrónica General

i1 + i2 + i3 = 0
i3 = 0

e = e+ = 0
eo ei
RF
+ SL =0
eo (s) = −RF eSL
i (s)

1 ei (s)
eo (s) = − L/R F S
Figure 3.9: Integrador con bobina
τ = L/RF
eo (t) = − τ1 ei (t) · dt
R

3.4.2 Integrador con bobina


El circuito y las ecuaciones de un integrador se describen en la figura 3.9.

Alternativamente podemos utilizar la ganancia de voltaje y obtenemos igual:


Z
RF eo (s) RF 1
A(s) = − ; =− eo (t) = − ei (t) · dt (3.24)
XL (s) ei (s) SL L/RF
Como en temas anteriores, identificamos el valor τ como el producto de L/RF , dato en tiempo,
τ = RLF .

3.4.3 Derivador con capacitor


En la figura 3.10 el capacitor a la entrada y en la realimentación RF , forman el derivador:

i1 + i2 = 0
e− = e+ = 0
eo
RF
+ e1i = 0
SC

eo (s) = −RF · SC · ei (s)


τ = RF C
Figure 3.10: Derivador con capacitor eo (t) = −τ dedti (t)

Alternativamente podemos utilizar la ganancia de voltaje y obtenemos igual:

RF eo (s) RF dei (t)


A(s) = − ; =− eo (t) = −RF C · (3.25)
Xc (s) ei (s) 1/SC dt
Como en temas anteriores, identificamos el valor τ como el producto de RF C , dato en tiempo,
τ = RF C .

3.4.4 Derivador con bobina


El circuito y las ecuaciones de un derivador se describen en la figura 3.11.
Alternativamente utilizando la ganancia de voltaje obtenemos igual:
XL (s) eo (s) SL L d(ei (t))
A(s) = − ; =− ; eo (t) = − · (3.26)
R1 ei (s) R1 R1 dt
Como en temas anteriores, identificamos el valor τ como el producto de L/R1 , dato en tiempo,
τ = RL1 .
P. Miranda 69

SL i1 + i2 = 0
R1 i1
i2 e− = e+ = 0
eo
eo ei
ei i3
+ = 0
SL R1
ei (s)
eo (s) = −SL
R1
τ = L/R1
Figure 3.11: Derivador con bobina
d(ei (t))
eo (t) = −τ
dt

3.5 Amplificador Multiplicador/Divisor


Para realizar el producto de dos magnitudes c = A · B , recurrimos a los logaritmos y antilogaritmos;

C = A · B; ln(C) = ln(A) + ln(B); ln−1 [ln(C)] = ln−1 [ln(A) + ln(B)] (3.27)

Estas ecuaciones permiten realizar la multiplicación y la división (cambiando de signo en la ecu.3.27) ,


para este fin utilizaremos el diodo ya estudiado en la zona de baja corriente.
Vd Vd
Id = I0 (e V m − 1); Id ≈ I0 · e V m (3.28)

3.5.1 Amplificador Logarı́tmico


En la figura 3.12 se incluye el circuito y las ecuaciones.

i1 + i2 = 0
i2 = id
e− = e+ = 0
ei
+ id = 0
R1
Vd ei
−I0 · e V m = −
R1
−V d ei
e Vm =
R1 · I0
Figure 3.12: Amplificador Logarı́tmico ei
V d = −V m · ln( )
R1 · I0
eo ≈ −V m · ln ei

3.5.2 Amplificador Antilogaritmico


El circuito de la figura 3.13 es el antilogaritmo y describe también las ecuaciones.

3.5.3 Amplificador multiplicador


Con lo que se vio en anteriores circuitos podemos conformar el circuito que realiza la multiplicación de
las entradas e0 = a · b :
70 FIS241 Electrónica General

i1 + i2 = 0
RF i1 = id
i2 ei = V d
Vd i1
eo e− = e+ = 0
ei i3
eo
id + =0
RF
ei eo
I0 · e V m = −
RF
Figure 3.13: Amplificador Antilogar- ei eo
itmo eVm =
RF · I0
ei
eo = RF I0 · ln−1
Vm

Figure 3.14: Circuito multiplicador

En e1 y e2 están los logaritmos, en e3 la suma y en eo la salida es el antilog que significa la


multiplicación.

3.5.4 Amplificador Instrumental


Es usado en todo medida de variación lenta, como las variaciones ambientales para meteorologı́a; tem-
peratura, presión, humedad, radiación solar, etc. utilizando un sensor de medida. El análisis esta en el
libro [1] página 354 y su solución que se obtiene:
2R2
V 3 = V 20 − V 10 = (V 2 − V 1)(1 + ) (3.29)
R1

V1 A1
V’1 R3

R2
V1-V2 R3
A3
V3
R1 R2

R3
R3

V2 V’2
A2

Figure 3.15: Amplificador diferencial instrumental, y un equivalente, el integrado AD620, re-


quiere solo una R externa.
P. Miranda 71

3.6 Simulación de Ecuaciones Diferenciales


Veamos la ecuación diferencial dependiente del tiempo.

a · Ÿ + b · Ẏ + c · Y = F (3.30)

Usando A.O. para simular la ecuación, despejamos Ÿ y luego usando sumadores e integradores podemos
conformar el circuito.
b c
Ÿ = − · Ẏ − · Y + F (3.31)
a b
Pero observando la ecuación podemos integrar dos veces y disponer las señales de Ẏ y la solución Y .
Bosquejando y realimentando señales obtenemos el circuito.

.. .
Y -Y Y
Y(t)

-c/aY
-c/a
. +
-b/aY
b/a
F sw

Figure 3.16: Simulador

La solución puede depender de señal externa F, de valores iniciales de velocidad o desplazamiento Ẏ (0+ )
o Y (0+ ) .
Un ejemplo completo para el caso de una masa M conectado a un resorte y una fuerza F todo dentro de
un lı́quido viscoso, se encuentra en el libro oficial de Brophy [2].

3.6.1 Ejemplo de simulación de Ecuaciones Diferenciales


En el mismo texto [1], en la página 356, se dispone un circuito que simula la ecuación diferencial del
oscilador armónico amortiguado que describe las vibraciones de una masa unida a un muelle de constante
de fuerza k y que se mueve en un medio viscoso de constante de amortiguamiento b. Observar estados
iniciales de Ẋ(0) , X(0) y fuerza externa.
k b F (t)
Ẍ = − X − Ẋ + (3.32)
m m m

Figure 3.17: Esquema de una computadora analógica, empleada para resolver la ecuación
diferencial de un oscilador armónico[1]
72 FIS241 Electrónica General
Chapter 4

CIRCUITOS DIGITALES COMBINACIONALES


4.1 Introducción
El tema actual esta basado en la electrónica del uso de transistores en estados de corte y saturación, per-
mitiendo estos estados extremos dos estados de voltaje que luego son adoptados por la lógica matemática
como 1 y 0 y en base a este se construyen compuertas digitales para que con su desarrollo se realicen
anáslisis combinacional y posteriormente análisis secuencial. También se mencionará el uso de micro-
controladores útil para combinar programación básica y manejo de bits y puertos que responden a una
secuencia.

4.2 Transistor Corte/Saturación


El primer análisis, es la operación del transistor en dos zonas denominadas corte y saturación, de acuerdo
a la figura 4.1, el transistor Q esta conectado a dos resistencias Rc y Rb, adem’as la Rc a la alimentación
Vcc y la otra Rb a la entrada de señal.

Ic

Vcc Ibn

Rc
B Ib

Rb
A Q
Ib1
Vc Vcc
Vout

Figure 4.1: Zona de trabajo corte/Saturación del transistor y la Curva de trabajo

Si Vcc es alimentación y vale 5 Voltios, Vc en esta situación, está limitada a voltaje de saturación (¡0.8V)
o voltaje de corte (¿3V). Por la base se conecta a una entrada de voltajes que bascula entre nivel bajo 0V
y nivel alto 5V, Las corrientes Ib e Ic ya no estarán relacionados estrictamente como en la zona análoga.

V b − V be V cc − V csat
Ib = ; Ic = ; Ic 6= β · Ib (4.1)
Rb Rc
En resumen la entrada es A y salida B, para el voltaje de entrada A:
En nivel bajo (A=0V) o nivel lógico 0, la Ib = 0 . En colector V c = V cc ( V c = 5V ), se le denominará
en corte o nivel lógico 1, B=1.
En nivel alto (A=5V) o nivel lógico 1, la Ib > 0 . En colector V c = 0 , se le denomina en saturación o
nivel lógico 0, B=0.
Los niveles de voltaje cero y uno, tienen tolerancias como en la figura 4.2

5V
A B = Ā
1
3.3V 0 1
A B
1 0
1V
0
Figure 4.3: Compuerta NO Figure 4.4: Estados
Figure 4.2: Niveles lógicos 0 y 1 Lógicos del NO

73
74 FIS241 Electrónica General

4.2.1 Circuito NAND y AND con transistores


El circuito esta formado por dos transistores Q1 y Q2 en seria, las entradas A y B generan Ib1 e Ib2 ,
por la salica C circula una única corriente Ic , para que suceda esto Q1 y Q2 deben estar en saturación.
De igual forma los valores de Rc, Rb1 y Rb2 tienen valores que permiten en la salida los estados lógicos
antes explicados y conforman un equivalente a una compuerta NAND. En la figura 4.5 se observan el
circuito, y en la figura 4.6 se adiciona un inversor Q3 para formar una compuerta AND.

Vcc
Vcc
Vcc
Rc
Rc
Rc1
C
C´ C
Rb1
Rb1 Rb
A Q1
A Q3
Q1
Rb2
B Q2 Rb2
B Q2

Figure 4.5: Ciruito digital NAND con Figure 4.6: Ciruito digital AND con transistores
transistores
Los circuitos equivalentes como compuertas lógicas y las tabla de verdad se presentan en la figura siguiente;

NAND AND
A C A C
A B C =A·B C =A·B
B B
0 0 1 0
Figure 4.7: Compuerta Figure 4.8: 0 1 1 0
Compuerta
NAND C = A · B 1 0 1 0
AND C = A · B
1 1 0 1

4.2.2 Circuito NOR y OR con transistores


De la misma forma, con dos transistores Q1 y Q2 dispuestos como en las figura 4.9 para dos entradas A
y B, la salida responde de forma similar a una compuerta lógica tipo NOR. En la figura 4.10 se adiciona
un inversor (transistor Q3) a la salida y la convierte en una compuerta OR.

Vcc
Vcc Vcc
Rc
C Rc
Rc1 Rb
C´ C
Rb1 Rb2
A Q2 B Rb1 Rb2
Q1 A Q3
Q1 Q2 B

Figure 4.9: Circuito NOR con transis- Figure 4.10: Circuito OR con transistores
tores
Los circuitos equivalentes y las respuesta en tabla de combinaciones posibles se observa en la figura 4.11
y 4.12

En la practica, solo falta comparar el funcionamiento del transistor en las zonas corte y saturación, en la
figura 4.13 se simulan estos circuitos NO, NAND, NOR.
P. Miranda 75

A C A C NOR OR
B B A B C =A+B C =A+B
0 0 1 0
Figure 4.11: Com- Figure 4.12: Com- 0 1 0 1
puerta NOR C = puerta OR C = A + 1 0 0 1
A+B B 1 1 0 1

Figure 4.13: equivalencia de uso con switchs, NO, NAND, NOR

4.2.3 Compuertas Lógicas


Las tres compuertas básicas son; NO, AND y OR, con estas se logran construir todas las demás, como
ser; NAND, NOR, XOR y NXOR. Este último tiene el circuito de la figura 4.14

A
AB
XOR NXOR
B A B A
A B C =A⊕B C =A⊕B
C
B
C
0 0 0 1
AB
0 1 1 0
1 0 1 0
Figure 4.14: Circuito XOR 1 1 0 1

Todas las compuertas básicas, con dos entradas (A y B), tienen cuatro combinaciones lógicas. Estas com-
binaciones lógicas en general pueden producir 16 combinaciones diferentes de respuestas f0 , f1 , f2 ..f15 ,
como se muestra en el cuadro.

n A B 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
0 0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1
1 0 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1
2 1 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1
3 1 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

+ A B ⊕ × × ⊕ B A +
Cada una de las 15 respuestas, se expresan en forma de suma de productos ( ΣΠ ), en la parte inferior
se tienen las diez que podemos identificar de los cuadros anteriores, estos son: NOR, A , B , etc. las
que no fueron identificados son la; f2 , y su negado f13 , al igual que f4 y f11 . construyendo tenemos:
f2 (A, B) = A · B (4.2)

f11 (A, B) = f2 (A, B) = A · B + A · B + A · B = A · B (4.3)

4.3 Lógica Combinacional


Un circuito combinacional con dos entradas y una salida o varias salidas, las representaremos como una
caja ciega esperando diseñar el resultado que se desea obtener.
Las reglas de reducción que se dispone es el Algebra de Boole, basado en los siguientes teoremas:
76 FIS241 Electrónica General

A A fx
circ. fn circ.
fy
comb. comb.
B B fz

Figure 4.15: Representación de un circuito combinacional

Teorema de Boole para una sola variable


OR AND NOT

A+0=A A·0=0 A=A


A+1=1 A·A=A
A+A=A 1·A=A
A+A=1 A·A=0

Teorema de Boole para mas de una variable


Conmutación A+B = B+A
Asociación A+(B+C) = (A+B)+C
A · (B · C) = (A · B) · C
Distribución A · (B+C) = A·B+A·C
A+(B · C) = (A + B) · (A + C)
Absorción A+A· B = A
A ·(A+B) = A
T. de Morgan A+B = A·B
A·B = A+B
Como ejemplo veamos la función f13 del cuadro 4.2.3, vemos los unos y tendremos tres funciones que
se reducen y el circuito correspondiente.

A
XY
AB
f13 (A, B) = (0, 2, 3)
B B+AB
f13 = A · B + A · B + A · B C

f13 = (A + A) · B + A · B B
f13 = B + AB

El teorema mas interesante es el Teorema de Morgan, este facilita reducción de una función nueva que
se presenta regularmente, por ejemplo si f13 negamos dos veces y se reduce a f13 = B + A .

4.4 Números Binarios


A manera de introducción a la aritmética binaria veamos los números binarios. Un número decimal
(dividendo) es convertido en en número binario (base 2), mediante divisiones sucesivas entre dos (divisor),
los residuos serán 0 ó 1, estos forman el número binario, leyendo desde el último al primero.

X10 → X2 (4.4)

Veamos el ejemplo de convertir el número decimal 327 en binario:


El número 32710 en binario es 1010001112 , y al igual que en el número decimal se tiene la unidad,
decena, centena etc. también interpretamos en binario.

32710 = 3 · 102 + 2 · 101 + 7 · 100 (4.5)

3272 = 1 · 28 + 0 · 27 + 1 · 26 + 0 · 25 + 0 · 24 + 0 · 23 + 1 · 22 + 1 · 21 + 1 · 20 (4.6)
P. Miranda 77

327 2 8 4 0
2 2 2
1 163 2
1 81 2
1 40 2
0001 0100 0111
0 20 2
0 10 2
0 5 2
101000111 1 2 2
0 1

Figure 4.16: Conversión de decimal en binario

4.4.1 Numero Hexadecimal


Al grupo de cuatro números binarios se le denomina número hexadecimal o de base 16, el número decimal
también se lleva al hexadecimal.

X10 → X16 = XH ; X10 → X8 (4.7)

En el siguiente cuadro se determinan los números binarios interpretados como; Decimal X10 o Xd ,
binario X2 o Xb , Hexadecimal X16 o Xh , Octal X8 o Xo , Binary Code Decimal (BCD) X10 .

X10 X2 X16 X8 XBCD


0 0000 0 0 0
1 0001 1 1 1
2 0010 2 2 2
3 0011 3 3 3
4 0100 4 4 4
5 0101 5 5 5
6 0110 6 6 6
7 0111 7 7 7
8 1000 8 10 8
9 1001 9 11 9
10 1010 A 12
11 1011 B 13
12 1100 C 14
13 1101 D 15
14 1110 E 16
15 1111 F 17
La primera columna es el decimal, la segunda el binario de 4 bits, la tercera es el n’umero hexadecimal
que va de 0 a F, luego el número octal del 0 al 7, y finalmente el número BCD (Binary Code Décimal)

El procedimiento similar al binario resulta;

327 16 147 16 462 16 1CE


7 20 16 14 28 16
4 1 0001 0100 0111 12 1 0001 1100 1110
147 1CE

Figure 4.17: Proceso de conversión en hexadecimal

Del ejemplo, el número 32710 = 14716 , expresando en base 16, esto es: 1 · 162 + 4 · 161 + 7 · 160 . Del
número hexadecimal cada uno se puede expresar en binario y formar de este modo el número binario
como se ve en la figura 4.17, además si el número binario reagrupamos cada tres bits expresamos el
número en octal.
78 FIS241 Electrónica General

4.4.2 Aritmética binaria


Las operaciones aritméticas básicas son la suma y resta. En el caso de la suma binaria será S=A+B y la
llevada C para un Sumador Simple (SS):

A
A B C S B
A B
S
0 0 0 0
A*B
0 1 0 1 C
SS
1 0 0 1
1 1 1 0
Figure 4.18: Sumador Simple

Para la resta se utiliza el complemento a uno o el complemento a dos.

4.4.3 Código Ascii


Los conjuntos de números binarios se los clasificarı́a
4 bits xxxx un hexadecimal (0 a 15)
8 bits xxxxxxxx un byte (0 a 256)
16 bits xxxxxxxxxxxxxxxx un word (0 a 65536)
Una de las aplicaciones interesantes de los números binarios, es el código ascii , consiste en la codificación
de los caracteres del teclado entre el 00000000 al 01111111 (0 a 127). En el siguiente cuadro se determina
la asignación mas básica usada para manejo de una máquina de escribir eléctrica creado en 1963 por
American Standard Code for Information Interchange (ASCII).

0000 0001 0010 0011 0100 0101 0110 0111


Bin Hex 0 1 2 3 4 5 6 7
0000 0 NULL DLE sp 0 @ P ‘ p
0001 1 SOH DC1 ! 1 A Q a q
0010 2 STX DC2 ” 2 B R b r
0011 3 ETX DC3 # 3 C S c s
0100 4 EOT DC4 $ 4 D T d t
0101 5 ENQ NAK % 5 E U e u
0110 6 ACK SYN & 6 F V f v
0111 7 BEL ETB ’ 7 G W g w
1000 8 BS CAN ( 8 H X h x
1001 9 HT EM ) 9 I Y i y
1010 A LF SUB * : J Z j z
1011 B VT ESC + ; K [ k {
1100 C FF FS , ¡ L \ l —
1101 D CR GS - = M ] m }
1110 E SO RS . ¿ N ˆ n ˜
1111 F SI US / ? O o DEL

Va desde 00000000 a 01111111 la primera mitad de un byte, 128 caracteres. Las dos primeras columnas
son para control y las demás corresponden a cada tecla. Por ejemplo la letra G vale 47h (hexa), en binario
serı́a 01000111.

4.5 Mapas de Karnaugh


Es la simplificación de suma de productos mediante cuadros con celdas que determinan un conjunto, fue
construido por karnaught.
En los cuadros de 2 entradas AB existen cuatro combinaciones, y obtenemos 16 soluciones posibles.
Para encontrar la solución f recurrimos a la suma de productos y luego a la reducción mediante Álgebra
de Boole.
P. Miranda 79

Mapa para dos entradas.- Consiste en celdas ordenadas 00,01,11,10, existe una conmutación
del 11 y 10, justamente para formar el conjunto B y el conjunto A, vamos a invertir el orden de AB a
BA de modo que A representará el menos significativo.
Existen reducidas reglas para poder agrupar un conjunto, estas son:

a.- Se dicen adyacentes cuando de una celda solo cambia un bit.


b.- Se pueden agrupar 2n celdas adyacentes.

Como ejemplo veamos la figura 4.19, introducimos en las celdas los valores del ejemplo f13 formamos
dos conjuntos (1) y (2), por tanto:

BA 00 01 11 10 BA 00 01 11 10

1 0 1 1 1 0 1 1
AB 00 01 11 10 0 3 2
1
1 0 1 1 2
B 1

Figure 4.19: Mapa para dos variables, se distinguen dos conjuntos claros
de A y B, en la derecha el ejemplo de agrupación.

A
f
f13 = B + A B

Mapa para tres entradas.- Ahora veamos en una de 3 entradas ABC y salida f , tendremos 8
combinaciones de entrada y 28 (256) soluciones es decir f0 · · · f255 .
Para expandir a mas de dos variables, vamos ha invertir el orden den de asignación, A el bit menos
significativo y C el mas significativo.

Tomemos una cualquiera en la salida y construimos la tabla de combinaciones y mediante suma de


productos encontramos la función mı́nima.
n C B A f Elementos
0 0 0 0 0
1 0 0 1 0 f = ΣΠ(2, 4, 5, 7)
2 0 1 0 1 f = (2) + (4) + (5) + (7)
3 0 1 1 0
f = CBA + CB · A + CBA + CBA
4 1 0 0 1
5 1 0 1 1 f = CBA + CB + CBA
6 1 1 0 0 f = CBA + CB + CA Ensamblado del circuito combi-
7 1 1 1 1 nacional
Como se ve, existe una sola solución lógica, pero que de acuerdo a la disponibilidad de componentes
digitales puede ensamblarse como en la figura.
Mediante Mapa de Karnaugh

Conjuntos

f = ΣΠ(2, 4, 5, 7)
f = (1) + (2) + (3)
f = CBA + CB + CA
Figure 4.20: Solución mediante mapa de 3 variables
80 FIS241 Electrónica General

Mapa de Karnaugh para cuatro entradas.- Un circuito combinacional de 4 entradas se


estudia con un mapa 4x4 celdas, estas se distribuyen como en la fiura 4.21 :
Las celdas entre 0 y 15 responden a las combinaciones binarias DCBA, con A el bit menos significativo
y D el digito binario mas significativo, cada letra A, B, C o D, corresponden a entradas distintas al
circuito que se quiere encontrar el circuito combinacional. Basado en conjuntos A formado por las celdas
1,3,5,7,13,15,9,11 en forma vertical y el conjunto D formado por 12,13,15,14,8,11,10. Cuando introducimos
los ceros o unos, agrupamos en conjuntos que sean; adyacentes y 2n elementos. Son adyacentes cuando
entre una celda y otra cambia una sola variable de 1 a 0 ó de 0 a 1.

n ABCD f
DCBA
0 0000 1
1 0001 0
2 0010 1
3 0011 0
4 0100 1
5 0101 1
6 0110 1
7 0111 1
8 1000 1
9 1001 0
10 1010 1
11 1011 0
12 1100 0
13 1101 0
14 1110 1 Figure 4.21: Para cuatro variables, Para cuatro variables, ordenados
15 1111 1 ordenados DCBA ABCD

Siendo las entradas diferentes A,B,C,D también pueden ordenarse como en la figura 4.5 ABCD con A el
bit mas significativo y D el menos significativo, la identificación es importante a la hora de formar los
conjuntos.

Resumen
• Las celdas entre 0 y 15 responden a las combinaciones binarias DCBA, con A el bit menos signi-
ficativo y D el dı́gito binario mas significativo.
• Cada letra A, B, C o D, corresponden a entradas distintas al circuito que se quiere encontrar el
circuito combinacional.
• Basado en conjuntos, A esta formado por las celdas 1,3,5,7,13,15,9,11 (vertical)
• D esta formado por 12,13,15,14,8,11,10.
• Cuando introducimos los ceros o unos, agrupamos en conjuntos que sean; adyacentes y 2n ele-
mentos.
• Son adyacentes cuando entre una celda y otra cambia una sola variable de 1 a 0 ó de 0 a 1..

Ejemplos con Mapa de Karnaugh .- PQ


1.- Encontrar el circuito para la suma de productos f (A, B) = (0, 3)
n AB f
0 00 1 f = A · B + AB
1 01 0 f =A⊕B
2 10 0
3 11 1 PQ
2.- para 3 entradas. Encontrar el circuito para la suma de productos f (A, B, C) = (0, 2, 3, 4, 6)
n CBA f
0 000 1 f = (1) + (2)
1 001 0 f = BC + A
2 010 1
3 011 1
4 100 1
5 101 0
6 110 1
7 111 0
P. Miranda 81

3.- Mapa de Karnaugh para cuatro entradas.- Veamos un ejemplo consistente en la


función f de la tabla anterior con las sumas de producto f (A, B, C, D) = ΣΠ(0, 2, 4, 5, 6, 7, 8, 10, 14, 15) ,
se requiere conseguir el circuito reducido, siguiendo los pasos: se dispone del cuadro e identificamos las
celdas, luego se introduce los 1 y 0, luego se identifican y se construye ls suma de conjuntos, finalmente
se arma el circuito digital.

A Circuito
B Combin.
C Digital f
D f(A,B,C,D)

f = (1) + (2) + (3)


f = BC + A · C + CD

PQ
4.- Encontrar el circuito para la suma de productos f (A, B, C, D) = (0, 2, 3, 4, 6, 7, 8, 12) . Observar
el orden de la asignación ABCD en el mapa de karnough, D es el bit menos significativo (LSB).
Teniendo la suma de productos no hace falta llenar el cuadro binario, directamente el mapa es la solución.

f = (1) + (2)
f = AC + C · D
82 FIS241 Electrónica General

Aplicación en Display de 7 segmentos


El display de 7 segmentos fue usado en todo los equipos para mostrar caracteres numéricos, por ejemplo
en la calculadora, en relojes, y actualmene junto a los semáforos para orientar en el tiempo, indicadores
en general,etc.
Consiste en el uso de LED (Light Emited Diode), existen de varios colores; Rojo1 , Amarillo, Verde, Azul2 ,
funcionan polarizando con 2V, 2.4V y 3.4V, son luminosos dependiendo de la intensidad de coriiente.
El uso mas acertado fue el construir con 7 LEDS, los númeors BCD y Hexadecimales, distribuyendo en
katodo común, como se ve en la figura.
Tambien se ha adicionado otro LED para el punto P

Tratándose de mostrar los números hexadecimales existe un chip denominado decodificador de 7 seg-
mentos (incluye el punto). Para entender el manejo veamos el display y el correspondiente cuadro de
funciones a,b,c,d,e,f,g.

n H DC BA a b c d e f g
0 0 00 00 1 1 1 1 1 1 0
1 1 00 01 0 1 1 0 0 0 0
2 2 00 10 1 1 0 1 1 0 1
3 3 00 11 1 1 1 1 0 0 1
4 4 01 00 0 1 1 0 0 1 1
5 5 01 01 1 0 1 1 0 1 1
6 6 01 10 1 0 1 1 1 1 1
7 7 01 11 1 1 1 0 0 0 0
8 8 10 00 1 1 1 1 1 1 1
9 9 10 01 1 1 1 0 0 1 1
10 A 10 10 1 1 1 0 1 1 1
11 B 10 11 0 0 1 1 1 1 1
12 C 11 00 1 0 0 1 1 1 0
13 D 11 00 0 1 1 1 1 0 1
14 E 11 00 1 0 0 1 1 1 1
15 F 11 00 1 0 0 0 1 1 1

En consecuencia realizaremos uno de los ejercicios, diseñar el circuito combinacional para el segmento b.
La suma de productos del segmento b será.

b(A, B, C, D) = ΣΠ(0, 1, 2, 3, 4, 7, 8, 9, 10, 13)

1
Usado desde el año 1968 producción masiva por la Monsanto Company
2
El LED azul, recibió el Premio Nobel de Fı́sica el año 2014, inventado por Isamu Akasaki, Hiroshi
Amano y Shuji Nakamura, por su artı́culo presentado en el año 1994. Se logró completar los colores
básicos RGB, gracias a estos podemos tener los monitores
P. Miranda 83

En consecuencia la suma de conjuntos y el circuito correspondiente, solo para el segmento b, serán.

b = (1) + (2) + (3) + (4) + (5)


b = C·D+ABD+A·C+ABD+A·B·D
b = C · D + AB ⊕ D + A · C + A · B · D

Código Ascii Extendido.- Con la finalidad de utilizar los 8 bits del byte, el Código Ascii extendido
toma los siguientes caracteres de la figura 4.22, este fue utilizado en las primeras impresoras de punto.

Figure 4.22: Codigo Ascii Extendido


84 FIS241 Electrónica General
Chapter 5

CIRCUITOS DIGITALES SECUENCIALES

5.1 FlipFlop SR y FlipFlop JK

Se pretende dar una idea introductoria del uso en general de los componentes basados en flip Flop, para
entender al final lo que puede ser un puerto de control digital.

5.1.1 Flip Flop SR

EL circuito SR se construye con compuertas NAND y NOR de dos entradas, está basado en la reali-
mentación. En la figura podemos distinguir las entradas S, R y las salidas Q , Q , estas salidas son las
que se realimentan. En la figura 5.1, al denotar Q y Q esta implı́cita que deben ser complementarias.
RyS determinan al flip Flop los estados de Set y Reset

n R S Q Q
0 0 0 1∗ 1∗
1 0 1 1 0
2 1 0 0 1
3 1 1 Q Q
Tabla de verdad para NAND
1∗ estado Indeterminado
Figure 5.1: Flip Flop RS con NAND

En la tabla de verdad podemos ver cuatro estados (igual que las combinaciones), cuando S y R hacen
el par 0 0 estos estados para el NAND son dominantes y la salida Q=1 y Q = 1 esto contradice lo
deseado y se la determina Indeterminado y no puede existir. Los estados 1 y 2 tienen sus respuestas
Q y Q . el estado 3, par SR=11, se dice que es la memoria de un bit, puesto que al pasar del estado
1 al 3, p del 2 al 3, la salida mantiene los estados 1 ó 2. Lo que no puede suceder es pasar del estado 0 al 3.

El circuito RS tambien podemos construir con compuertas NOR, como en la figura 5.2.

n R S Q Q
0 0 0 Q Q
1 0 1 1 0
2 1 0 0 1
3 1 1 0∗ 0∗
Tabla de verdad para NOR
0∗ estado Indeterminado
Figure 5.2: Flip Flop RS con NOR

El estado 3 se comporta como indeterminado puesto que no se tien Q y Q , mientras que los demas
estados si tienen este comportamiento, finalmente no se puede pasar del estado 3 al 0.

85
86 FIS241 Electrónica General

t−1 t0
t−1 t0
n QQSR Q Q
n QQSR Q Q
0 0000 ? ?
0 0000 ? ?
1 0001 ? ?
El FF-SR tambien podemos analizar 1 0001 ? ?
2 0010 ? ?
2 0010 ? ?
partiendo desde un tiempo t−1 al 3 0011 ? ?
3 0011 ? ?
tiempo t0 , pero analizando como si 4 0100 1 1
4 0100 1 1
5 0101 1 0
fueran las cuatro entradas y dos sali- 6 0110 0 1
5 0101 1 0
das, esto lo vemos en la siguiente tabla 6 0110 0 1
7 0111 0 1
7 0111 0 1
como referencia. 8 1000 1 1
8 1000 1 1
Las interrogaciones indican que son in- 9 1001 1 0
9 1001 1 0
10 1010 0 1
determinados ( Q = Q ) y no se cuenta, 11 1011 0 1
10 1010 0 1
mientras que los estados apropiados son 11 1011 0 1
12 1100 1 1
12 1100 1 1
los que determinan la salida. 13 1101 1 0
13 1101 1 0
14 1110 0 1
14 1110 0 1
15 1111 ? ?
15 1111 ? ?
Caso NAND
Caso NOR

SR con Clock
Los circuito secuenciales regularmente responden a una tercera entrada denominada reloj identificare-
mos con Ck , en el circuito 5.3 se adiciona dos compuertas NAND para control de Ck .

n S R Q Q
0 0 0 Q Q
1 0 1 0 1
2 1 0 1 0
3 1 1 1∗ 1∗
Tabla de verdad para NAND
controlado con reloj
Figure 5.4: Simbolo Flip
Figure 5.3: Flip Flop SR con Flop SR con Ck
NAND y reloj

La tabla se ha construido asumiendo que Ck es 1, y permite accionar a S y R, de lo contrario Q y Q


permanecen inalterables, en la tabla se ha modificado el orden, dando a definir que el estado 0 es la
memoria, el 1 el Set, en 2 Reset y en 3 aún es indeterminado.

5.1.2 Flip Flop JK


El JK es la creación de mayor importancia, puesto evita la indeterminación y aparecen en QQ comple-
mentos practicos y útilies para la tecnologia.
Está construido por dos circuitos SR con Ck dispuestos en serie, al primero se le denomina M aster de
maestro y al segundo Slave de esclavo, como se ve en el circuito digital de la figura 5.5.
El funcionamiento requiere de mayor explicación: cuando el clock esta en 1 el SR maestro cambia, pero

n J K Q Q
0 0 0 Q Q Memoria
1 0 1 0 1 Reg. D
2 1 0 1 0 Reg. D
3 1 1 Q Q Togle
Tabla de verdad para FF-JK
Figure 5.5: Flip Flop JK Maestro Esclavo

el esclavo no; en el instante en que el clock baja a 0 el maestro transfiere sus estados al esclavo, y el
maestro es bloqueado.
A continuación se presenta un primer sı́mbolo del JK a utilizar y una secuencia de señales y estados que
P. Miranda 87

optarı́a las salidas QQ ante entradas JK , el cambio se produce cuando el reloj Ck cambia de estado
1 a 0 en tiempo muy corto.
Recalcando que los valores en JK son útiles solo tiempo antes que el clock pase de 1 a 0, este paso
real es tan corto denominamos tf como tiempo de caı́da y tr tiempo de subida, está en el orden de
nanosegundos1 , y en QQ se tendrá el resultado esperado .

Figure 5.6: Flip Flop JK

Figure 5.7: Señal Secuencial

Como se observa,el JK tiene cuatro estados de salida: el primero se dice memoria, por que no altera
QQ ; el segundo y tercero es un registro de grabado en QQ el estado 10 o 01 y se le denominará
Registro D; El cuarto estado se le da el nombre de T ogle y significa alternar es decir que QQ cambian
de estado, cada vez que el Ck pasa de 1 a 0.
El flip flop JK también tiene otros controles adicionales, estos son Cl simboliza Clear y P r P reset ,
en la figura se presenta el circuito interno y el sı́mbolo.

Figure 5.9: Sı́mbolos del FF JK con el Ck que cambia,


en a) bajada, y en b) subida
Figure 5.8: Flip Flop JK mas Clear y Preset

Clear significa limpiar o borrar, es decir colocar Q = 0 y Q = 1 , mientras que P reset coloca a
Q = 1 y Q = 0 , estos son utilizados para sincronismo y contadores como se verá mas adelante.

1
Ver manual del fabricante de acuerdo a la tecnologı́a: TTL, HTL, CMOS
88 FIS241 Electrónica General

5.2 REGISTROS
Los Flip flop JK, son usados como registros, que los denominaremos FF D y FF T, el FF D para datos
es decir que es una celda de un bit y FF T para alternar o bascular.

5.2.1 FF D.-
Este FF como se ve en la figura 5.10, en la entrada K se invierte con relación a J , entonces siendo una
sola señal la que entra, la table de combinación será.

D Q Q
0 0 1
1 1 0

Figure 5.10: Flip Flop D

En la industria de circuitos integrados, un registro normalmente se construye un conjunto de 4 bits o de


8 bits, formando ası́ un bus de datos, o como se conoce como un puerto de 8 bits, por que entra paralelo
y sale paralelo..

Figure 5.11: FF D de a) cuatro bits y b) de 8 bits

En la industria los FF D también son cableado para otros fines; conversor serie en paralelo, conversor
paralelo en serie, estos no serán estudiados en este curso.

5.2.2 FFT.-
Este flip flop Togle o FF T es usado para reloj, el sı́mbolo se presenta en la figura 5.12, ambas entradas
J y K son conectados a Vcc es decir a la alimentación, por esta razón solo se la menciona como FFT
y es estrictamente dependiente del Clock.

T Q Q

0 Q Q
1 Q Q

Figure 5.12: Flip Flop Togle (alternar)


P. Miranda 89

n Ck Q3 Q2 Q1 Q0
0 ↓ 0000
1 ↓ 0001
2 ↓ 0010
. . . .
14 ↓ 1110
15 ↓ 1111

Figure 5.13: Contador Hexadecimal

Contador Hexadecimal.- Se construye con cuatro FFT, en serie, la entrada T se conecta a Vcc,
como se notará se requiere solo una señal de clock y en Q0 Q1 Q2 Q3 se generan las salidas de los 16
estados del Hexadecimal, desde 0000 hasta 1111 , ver figura 5.13.

Las formas de onda que se producen en las salidas Q3Q2Q1Q0 se observa en el figura 5.14.

Figure 5.14: Estados de Q0,Q1,Q2,Q3 con relación al oscilador de entrada

Contador BCD.- De igual forma se construye el contador BCD, adicionando un circuito combi-
nacional de control usando como entrada los estados de Q3 Q2 Q1 Q0 y la salida conectada a Cl para
reiniciar las cuentas, inicia en 0000 y llega a 9, luego al pasar a 10d se genera la señal Cl de duración
muy pequeña y pasa directamente a 0000 , ver figura 5.15.

n Ck Q3 Q2 Q1 Q0
0 ↓ 0000
1 ↓ 0001
2 ↓ 0010
. . . .
8 ↓ 1000
9 ↓ 1001
10 → 0 ↓ 1010
0000
Figure 5.15: Contador BCD

Recalcando que el circuito de generación Cl , está formado por el NAND, de 2 ó 3 entradas por que en
el estado 10d del contador ( 1010 ), Q3 y Q1 son 1 .

Figure 5.16: Formas de onda sobre Q3,Q2,Q1,Q0, son 10 estados, se observa el impulso de muy corta duración en Q1

Los contadores en general requieren FFT, los de 4 FFT, internamente disponen de un NAND de dos
entradas para limitar el número superior n con n ≤ 2N , y N representa los FFT.
90 FIS241 Electrónica General

Contador de 9999.- Como ejemplo veremos el contador usado en equipos de radiación, este
requiere cuentas cada segundo, entonces el contador principal son cuatro contadores BCD, conectados en
serie (cascada ), la señal de entrada vendrá de un detector y será convertida a digital.

Figure 5.17: Ejemplo de contador de 0 a 9999, el BCD unidad esta a la izquierda

Para observar se requiere conectar a un decodificador de 7 segmentos y luego a un display de 7 segmentos


como se vio en anterior capı́tulo. También se requiere alternar entre contar y observar, esto con un
circuito externo, como se ve en la figura 5.17, el oscilador externo será de 0.5 seg.

Contador de Reloj.- Otro ejemplo en el que se usan los contadores es conformar un reloj con
HH:MM:SS (Hora Minuto y Segundo), basta un oscilador de un segundo para que ciclicamente vaya
contando desde 00:00:00 hasta 23:59:59, se requieren; contador de 6, contador de 10, contador de 3, y 24.
Para ajustar, adicionalmente se requiere que los JK sean de registro inicial y un clear para cargar la hora
inicial.

Figure 5.18: Ejemplo de Reloj, Unidad de segundo a la izquierda y decena de hora a la derecha

El primer circuito integrado fue patentado por el americano Jack S. Kilby2 en julio de 1958 integrando
varios componentes en una sola. La empresa donde trabajaba Texas Instrument fue uno de los primeros
en iniciar la fabricación de diversos componentes TTL. La empresa Intel Corporation con Robert Noyce
tambien comenzo a fabricar componentes integrados. Hoy existe una inmensa cantidad de fabricantes de
circuitos integrados. El acceso es buscando el datasheets.

2
En el año 2000 Kilby fue galardonado con el Premio Nobel de Fı́sica por la enorme contribución de
su invento al desarrollo de la tecnologı́a
Chapter 6

APLICACIONES
El conocimiento en el área de digital, permite la fabricación de gran cantidad de instrumentos útiles,
denominada tecnologı́a. El avance de la estructuración de integrados y el avance de Fı́sica del estado
sólido, han permitido fabricar un primer microprocesador (uP) de 4 bits de proceso. En noviembre del
año 1971, la empresa INTEL lanza su primer micro procesador identificado como D4004 de 16 pines, Su
estructura la vemos en la figura 6.11 .

Figure 6.1: Arquitectura del primer microprocesador de 4 bits, 1MHz de velocidad, fabricado por Intel el año 1971

Los módulos que observamos; ALU (Aritmetic Logic Unit), Acumulador, Registros, multiplexor, Decodi-
ficador de instrucciones (46 instrucciones), Bus de datos, bus de control, etc. Para conformar un sistema
de computación, se adicionaron externamente; Memorias RAM, ROM, PORTS, Clocks (oscilador). Con
este sistema de cuatro bits se fabricaron diversas calculadoras y otros instrumentos. Posteriormente la
empresa Intel comenzó a fabricar microprocesadores de 8, 16, 32 bits de bus gradualmente.

Posteriormente, la empresa Motorola el año 1975 introduce al mercado su primer microprocesador el


MC6800 de 8 bits como bus de datos y 16 bits como bus de direcciones, frecuencia de trabajo 1 MHz
encapsulado en 40 pines y de 78 instrucciones, usa una nueva forma de encarar el proceso de software y
manejo de bus. Introduce los direccionamientos: Inmediato, Directo, Relativo, Inherente o Implı́cito y

Figure 6.2: Circuito Integrado de 40 pines y estructura reducida de la arquitectura del uP MC6800

acumulador, Extendido, e Indexado. Usados para manejo de 16 bits de direcciones hexadecimales 0000 a
FFFF (65536) posiciones de memoria.

1
ver: https://es.wikipedia.org/wiki/Intel 4004

91
92 FIS241 Electrónica General

Comentario; Los componentes internos al uP están basados en digital combinacional, Flip Flops y digital
secuencial, y el conjunto que forma el uP tambien es denominado la unidad central de procesos CPU
(Central Processing Unit) tambien conocido como MPU (Microprocesor Unit)

Finalmente, luego de 45 años de desarrollo de uP, la empresa INTEL dispone de los i3,i5,i7 y i9 proce-
sadores potentes para laptops y Pc. La empresa Motorola dispone de la serie 68000, hasta la 68330 de
32 bits, estos están dentro de nuestros computadores.

Un pequeño mini-computador, fue conformado por los componentes descritos en la figura 6.3.

uP Microprocesador
Osc Oscilador clock
RAM Random Acces Memory
ROM Read Only Memory
Serial Port
Parallel Port
Driver, Adaptador
AB Addres Bus
DB Data Bus
CB Control Bus
Figure 6.3: Estructura de un mini-computador

En la ROM (Read Only Memory) se introduce un programa exclusivo del uP denominado assembler,
cada uP tiene instrucciones lógicas y aritméticas propias.
La RAM (Random Acces Memory ) memoria de trabajo, se guardan temporalmente los resultados.
El Serial Port es un puerto de acceso serial con tres hilos (se conecta al teclado).
El Port Paralelo puede ser de 8 bits de datos y 4 de control (se conecta a impresora)
El driver, se conecta con otro dispositivo de almacenamiento de resultados ( Casete o Floppy disk).

6.1 Microcontroladores básicos


Los microcontroladores (uC) son integración en una sola pastilla (chip) variedad de componentes para
diversas utilidades. Motorola fue una de las primeras empresas que lanza a la venta los Microcontroladores
(uC) como ser el MC6801 y MC68701.

Microcontrolador MC6801:
CPU
RAM
EPROM
TIMER
PORT SERIE
PORT PARALELO
PORT PARALELO I/O

Figure 6.4: Estructura del microcontrolador MC6801 del año 1978


P. Miranda 93

Otra arquitectura nació para construir las CPU, esta es la arquitectura RISC (Reduced Instruction Set
Computer), esto facilita el manejo de tamaños mayores de memoria y es el dominio en la actualidad. Las
anteriores con limitado direccionamiento se denominan CISC (Complex Instruction Set computer).

La compañia Atmel ha construido microcontroladores con tecnologia RISC denominados AVR que incluye
un gran conjunto de componentes internos, es fabricante de Microcontroladores que va desde chips 8 pines
de integración, pero que internamente gosa de estructura de 8 bits.
El microcontrolador Atmega3282 adquirió fama por su integración.
En la figura 6.5 se observa los componentes internos por los que está formado el uC.

PARÁMETROS VALORES
Flash 32 Kbytes
SRAM 2 Kbytes
Cantidad Pines 28
Frecuencia 16 MHz
CPU 852542-bit AVlR
Pines de E/S 23
Interrupciones 24
Canales ADC 8
Resolución de ADC 10
Eeprom 1Kbytes
Canales PWM 6
Voltaje de op. 1.8-5.5 v
Timers 3

Figure 6.5: Arquitectura del microcontrolador At-


mega328

Cuando se indica pines de E/S significan tres ports paralelos, de entrada y salida y pueden seleccionarse
para otros usos, tal el caso del conversor Análogo en digital ADC, de 8 entradas multiplexables. Cuando
los Ports paralelos se los programa de salida, cumplen la función de un FFD de 8 bits. En resumen, esta
uC no es la única, existen otras con muchas mejores bondades como los PICs, pero se impone en el uso
de muchas compañı́as dedicadas al desarrollo de microcontroladores.

6.1.1 Arduino Uno.-


La compañı́a Arduino que inició por los años 2005 en Italia como estudiantes universitarios, de entre una
variedad de diseños, el año 2010 presentó una tarjeta pequeña, basado en el uC Atmega328P, modelo
denominado Arduino uno con conexión via USB, conectando a cualquier PC.

La compañia Arduino ha desarollado un software denominado Integrated Development Environment


(IDE) o Software de Arduino, en la figura 6.6 se presenta la tarjeta y las bondades del IDE.

2
http://ww1.microchip.com/downloads/en/DeviceDoc/ATmega48A-PA-88A-PA-168A-PA-328-P-DS-DS40002061A.pdf
94 FIS241 Electrónica General

IDE:
Editor de texto
Area de mensajes
Consola de texto
Barra de herramientas
Compilador
Comunicación con ArduinoUno
Vuelca el programa
o graba
Ejemplos variados
Figure 6.6: Tarjeta de Arduino-Uno con Atmega328

Como se lo observa, la tarjeta se conecta directamente a un PC o Laptop y descargar el software de Ar-


duino para cualquier sistema operativo, se hace correr y aparece la ventana para desarrollar el programa.
La programación se desarrolla en C/C++, puede ser desde activar y desactivar un LED, hasta con-
trolar pequeños sistema. En la industria se observa que existen variada cantidad de sensores que se
pueden conectar directamente y programas que monitorean. El uso se ha diversificado por que no re-
quiere conocimientos avanzados de hardware ni de software, ası́ es usada por estudiantes colegiales, por
universitarios y profesionales.

6.2 Manejo de puertos


Un puerto paralelo por lo general esta conformado por 8 pines, estos son Flip flop tipo D, cada vez que se
escribe, este permanece en ese estados. El port dentro el muC, tiene mayor utilidad, se puede programar
como salida o como entrada, se requieren incluso otros dos registros para definir las condicionesde (D0..D7)
Particularmente se puede manejar un solo bit y se disponen de comandos exclusivos, de lo contrario se
usa lectura luego operación lógica y reescritura.
En el mC Atmega328, un puerto (port) es una unidad de las varias que dispone el uC, en especial el
puerto paralelo es el que nos interesa conocerlo y operarlo, dispone de tres puertos: Port B (B0-B7),
port C (C0-C6) y port D (D0-D7), un total de 23 pines, lamentablemente los demás ports comparten los
mismos pines de entrada y salida (I/O), y otros ya están dedicados, por lo que se dispone en general solo
17 pines, con estos se pueden realizar infinidad de acciones, sı́ncronas y ası́ncronas.

A continuación se dejan dos ejemplos con explicaciones correspondientes y programas en C. Para com-
plementar, también se incluyen dos practicas en laboratorio.
Se deja al alumno consultar la tremenda literatura que existe e internet.
P. Miranda 95

6.3 EJEMPLOS DIGITAL


6.3.1 Introducción
Se presentan dos Ejemplos de manejo de leds, uno para display de 7 segmentos controlados mediante
switches, y otro para medida de polarización de cinco colores diferentes -usado en la exposición de
FAPA2018-. Las aplicaciones son interesantes, la primera para juego de pregunta respuesta y la segunda
para conectarse mediante BT (BlueTooth) y controlar varias combinaciones.

6.3.2 Display 7 segmentos


La figura 6.7 superior, se representa al display de 7 segmentos, consiste de 7 dobles leds que forman un
ocho como en la figura inferior y cada uno corresponde a la identificación a,b,c,d,e,f,g,p, adicionalmente
tiene otro led simple para el punto. En la parte posterior setienen 10 pines para conectar, los dos centrales
se conectan a +5V para alimentar al ánodo comun y los otros a sus correspondientes. El led rojo ilumina
a los dos voltios, el doble será 4 voltios.
En la figura 6.8 este display de 7 segmentos se conecta a la tarjeta Arduino en los puntos digitales
d0,d1,d2,d3,d4,d5,d6,d7 en correspondencia a,b,c,d,e,f,g,p, hay que tomar en cuenta que los pines no son
uno a uno. En los pines A0,A1,A2,A3,A4 y A5 se conectan los cinco switch y un jumper, los switch
sw1,sw2,sw3,sw4 para enviar estados 0/1, para que se pueda interpretar y mostrar en el displey los val-
ores hexadecimales de 4 bits. El sw5 sirve para otro propósito, mientras que el jumper puede ser para
seleccionar programa interno. El beep es un sumbador que ayuda para concentrarse en tiempos.
El software que se presenta, está programado en C/C++ dirigido al manejo de bytes, y separar hex-
adecimales y bits. Al inicializar se muestra del 0 al F para test, en la primera parte del programa para
precionar los switches y ver que corresponde al hexadecimal. En la tercera parte para realizar algun
concurso, como por ejemplo preguntas y respuestas, el circuito incluye el beep para alertar cuando ha
transcurrido el tiempo.
Algoritmo repetible de preguntas y respuestas, aterrando el jumper jp1 se pasa al programa del juego. El
profesor pregunta y presiona el sw5 para iniciar el tiempo, se escucha un beep corto, el estudiante para
contestar presiona el switch que le correspnda sw1,sw2,sw3 o sw4 y se identifica en el display mas beep,
luego contesta. En caso de que nadie contesta se escucha un beep largo de over time.
Power

+12V USB
a
e 1 10 g
AREF
d b Arduino
f f GND
g Uno
+5V +5V d13 beep
c e c p d12
d a
5 6 RST
p b d11
3.3V d10
5V d9
+5V GND d8
8
3 GND
VIN d7 p
g xxxxx
sw1 l=8mt d6
A0/d14 f a
d5 b
e f g
sw2 A1/d15 d4
d
A2/d16 d3 e c
c p
7
a
6
b
4
c
2
d
1
e
9
f
10
g
5
p
sw3

sw4 sw5
A3/d17
A4/d18
A5/d19
.. .... d2
d1
d0
b
a
d
xxxxx

jp1 5V

Figure 6.7: Display de 7 segmen-


tos Figure 6.8: Conexión de display de 7 segmentos y switches prolongados
por cables
96 FIS241 Electrónica General

7segmentos beep(30); //advertencia beep inicio


for(i=TMax; i>0; i--){
botones = ~PINC; //lee port C
/* display7segmentos.c botones &= 0xF; //activa alumno
test de blink display de 7 segmentos y utilidades if(botones ){
FIS241 Electronica General, FISICA-FCPN-UMSA display7seg(botones);
Docente: Ing. P. Miranda, oct. 2018 beep(50);
break;
entrada PORTC, 6 bits, PC3:0 botones de respuesta }
PC4 para iniciar pregunta delay(1);
PC5 switch para seleccionar programa // display7seg(i/1000);
salida PORTD, 8 bits para leds p,g,f,e,d,c,b,a }
salida pin12, para beep. if(botones==0)beep(600);
*/ }
uint8_t botones; //.....beep con piezoelectrico
void beep(int j){
//......... Aqui inicia reset ........ digitalWrite(12,HIGH); //beep
void setup() { delay(j);
DDRD=0xFF; //inicializa port D salida digitalWrite(12,LOW); //beep
DDRC=0x0; //inicaliza port C entrada }
PORTC=0xFF; //activa resistencias internas
pinMode(12,OUTPUT); //para beep
beep(30); //beep de 200ms
blink7seg(); //test de 7seg
}

//.. Esta es la rutina principal .....


void loop() {
botones=~PINC; //lee port C
botones &=0x20; //anula bits
switch(botones){
case 0x00: leebotones();break;
case 0x20: preguntas_fisica(); break;
}
}

//.... rutina comun de display 7 segmentos ....


void display7seg(uint8_t numero){
uint8_t Sseg[16]={0x3F,0x06,0x5B,0x4F,0x66,0x6D,0x7D,0x07,\
0x7F,0x67,0x77,0x7C,0x58,0x5e,0x79,0x71};
if(numero<16)PORTD=~Sseg[numero];
else PORTD=~0x80;
}

//.......opcion test display .......


void blink7seg(){
uint8_t i;
for(i=0;i<17;i++){
display7seg(i);
delay(500);
}
}

//......opcion comprobar botones ....


void leebotones(){
botones = ~PINC; //lee port C
botones &=0x0F;
display7seg(botones);
}

//......opcion prueba ............


void preguntas_fisica(){
for(;;){
botones = ~PINC; //lee port C
botones &= 0x10; //profe activa
if(botones)respuesta_alumnos();
}
}

void respuesta_alumnos(){
uint16_t TMax;
uint16_t i;
TMax=15000; //15 segundos
PORTD=~0x80; //solo punto
P. Miranda 97

7segmentos-1 void setup() {


DDRD=0xFF; //inicializa port D salida
DDRC=0x0; //inicaliza port C entrada
/* display7segmentos_1.c PORTC=0xFF; //activa resistencias internas
test de blink display de 7 segmentos y utilidades }
FIS241 Electronica General, FISICA-FCPN-UMSA //.. Esta es la rutina principal .....
Docente: Ing. P. Miranda, oct. 2018 void loop() {
*/ botones = ~PINC; //lee port C
botones &= 0x10; //profe activa en PC4
if(botones)respuesta_alumnos();
//......... Aqui inicia reset ........ }
void setup() {
//.... rutina comun de display 7 segmentos ....
DDRD=0xFF; //inicializa port D salida void display7seg(uint8_t numero){
} uint8_t Sseg[16]={0x3F,0x06,0x5B,0x4F,0x66,0x6D,0x7D,0x07,\
0x7F,0x67,0x77,0x7C,0x58,0x5e,0x79,0x71};
if(numero<16)PORTD=~Sseg[numero];
//.. Esta es la rutina principal ..... else PORTD=~0x80;
void loop() { }
uint8_t i;
void respuesta_alumnos(){
for(i=0;i<17;i++){ uint16_t TMax;
display7seg(i); uint16_t i;
TMax=15000; //15 segundos
delay(500); PORTD=~0x80; //solo punto
} for(i=TMax; i>0; i--){
} botones = ~PINC; //lee port C
botones &= 0xF; //activa alumno
if(botones ){
//.... rutina comun de display 7 segmentos .... display7seg(botones);
void display7seg(uint8_t numero){ break;
}
uint8_t Sseg[16]={0x3F,0x06,0x5B,0x4F,0x66,0x6D,\ delay(1);
0x7D,0x07,0x7F,0x67,0x77,0x7C,\ // display7seg(i/1000);
0x58,0x5e,0x79,0x71}; }
}
if(numero<16)PORTD=~Sseg[numero];
else PORTD=~0x80;
}

7segmentos-2
/* display7segmentos_2.c
test de blink display de 7 segmentos y utilidades
FIS241 Electronica General, FISICA-FCPN-UMSA
Docente: Ing. P. Miranda, oct. 2018
*/

uint8_t botones;

//......... Aqui inicia reset ........


void setup() {
DDRD=0xFF; //inicializa port D salida
DDRC=0x0; //inicaliza port C entrada
PORTC=0xFF; //activa resistencias internas
}

//.. Esta es la rutina principal .....


void loop() {
botones = ~PINC; //lee port C
botones &=0x0F; //anula bits no hexa
display7seg(botones);
}

//.... rutina comun de display 7 segmentos ....


void display7seg(uint8_t numero){
uint8_t Sseg[16]={0x3F,0x06,0x5B,0x4F,0x66,0x6D,0x7D,0x07,\
0x7F,0x67,0x77,0x7C,0x58,0x5e,0x79,0x71};
if(numero<16)PORTD=~Sseg[numero];
else PORTD=~0x80;
}

7segmentos-3
/* display7segmentos_3.c
test de blink display de 7 segmentos y utilidades
FIS241 Electronica General, FISICA-FCPN-UMSA
Docente: Ing. P. Miranda, oct. 2018
*/

uint8_t botones;

//......... Aqui inicia reset ........


98 FIS241 Electrónica General

6.3.3 Leds en Paralelo palabra FISICA


Se ha construido una caja de display con un conjunto de LEDS dispuestos para representar letra en
tamaño 7x5 de la palabra FISICA (para la FAPA2018), dispone de switches para activar cada letra, a la
vez se puede medir el voltaje sobre cada conjunto de diodos que están conectados en paralelo, el mayor
tiene 20 leds, un potenciómetro para variar la intensidad de la corriente, además cada letra tiene colores
diferentes. En teorı́a sabemos que los voltajes de trabajo son diferentes al del diodo rectificador de silicio
0.6V. y se trata de caracterizar a los diodos construyendo el gráfico I Vs V, especialmente conocer las
caracterı́sticas del led azul este ha merecido el Premio Nobel de Fı́sica 2014 luego de esperar 20 años la
aplicación que hoy disfrutamos en las pantallas de los telefonos celulares. Adicionalmente, se ha conec-
tado en paralelo a los switches, un transistor que sustituye a los switches, en la base de este transistor
tiene una resistencia que limita la corriente de saturación, y está conectado a la salida de un puerto de
la tarjeta arduino. Los pines d2,d3,d4,d5,d6,d7 del arduino controlan varias acciones.
El programa contempla varias acciones, on/off de cada uno de los leds, on/off de todo la palabra, cicli-
camente on/off uno por uno, y randomicamente on/off.
El programa se desarrolla en el PC usando el sistema de desarrollo de Arduino denominado IDE, hasta
que funcione correctamente, la programación es via USB, el USB en la tarjeta Arduino usa los pines d0
y d1 para transmición y recepción.
Cuando el programa es grabado, el arduino puede liberarse del PC para el que se instala una pequeña
tarjeta de comunicación fabricada tambien por arduino y designada BT (bluetooth) HC06, los pines son
cuatro dos para alimentaci’on de 3.3V y gnd (tierra) y Transmición y Recepción en d0 y d1 de Arduino.
En nuestro Celular se debe cargar el programa de control via BT denominado BlueTooth Serial control
y programar cada una de sus teclas virtuales como se indica en el programa, se usan 9 opciones. Al
encender ambos mas el tablero, se logra el control descrito.
El programa esta basado en manejo de bits, para controlar cada acción, revisar y mejorar.

.. .. .. .. .. ..
ooooo ooooo ooooo ooooo ooooo ooooo
o o o o o o o
o o o o o o o
ooooo o ooooo o o ooooo
o o o o o o o
o o o o o o o
o ooooo ooooo ooooo ooooo o o

Figure 6.10: Leds en paralelo para formar un


caracter 7x5 de la palabra FISICA de un solo color
Figure 6.9: Caja que soporta los leds de las letras con
diferentes colores

+5V
R0
R1 R2 R3 R4 R5 R6

p1 p2 p3 p4 p6
p5

d2 d3 d4 d5 d6 d7
F I S I C A

Q1 Q2 Q3 Q4 Q5
sw2 Q6
sw1 sw3 sw6

Figure 6.11: Circuito para medir polarización de diferentes colores de leds, on/off mediante switches o el transistor,
controlado por una tarjeta Arduino Uno conectado en d2..d7 y uso de BT (Bluetooth) desde celular
P. Miranda 99

6.3.4 Manejo de leds FISICA


/* FIS241_Lab4_leds.ino
ON/OFF de Leds usando Arduino uno controlado via BT.
FIS241- Docente. Ing. Pedro Miranda, 2018
Carrera Fı́sica-FCPN-UMSA, La Paz Bolivia
Nota: Se ha construido panel con diferentes colores
de leds, cada grupo esta en paralelo y representa un
caracter de la palabra F I S I C A. Como experiencia
se usa el Tel. Celular para realizar cambios de estado
via Bluetooth (BT). Esto sustituye al switc manual.
*/

//...Definiciones.........
int ledF=2;
int ledI=3;
int ledS=4;
int ledI2=5;
int ledC=6;
int ledA=7;

int tiempo=0;
void setup(){
Serial.begin(9600);
pinMode(ledF,OUTPUT);
pinMode(ledI,OUTPUT);
pinMode(ledS,OUTPUT);
pinMode(ledI2,OUTPUT);
pinMode(ledC,OUTPUT);
pinMode(ledA,OUTPUT);
}
void loop(){
if(Serial.available()){
char dato=Serial.read();
switch(dato){
case ’1’: digitalWrite(ledF,!digitalRead(ledF)); break;
case ’2’: digitalWrite(ledI,!digitalRead(ledI)); break;
case ’3’: digitalWrite(ledS,!digitalRead(ledS)); break;
case ’4’: digitalWrite(ledI2,!digitalRead(ledI2));break;
case ’5’: digitalWrite(ledC,!digitalRead(ledC)); break;
case ’6’: digitalWrite(ledA,!digitalRead(ledA)); break;
case ’7’: PORTD=0;break;
case ’8’: PORTD=0xFF;break;
case ’9’: Intermitente9();break;
case ’A’: CiclicoA();break;
case ’B’: RandomB();break;
}}
}
void Intermitente9(){
while(!Serial.available()){
PORTD=0; delay(500);
PORTD=0xFF; delay(500);
}
}

void CiclicoA(){
while(!Serial.available()){
digitalWrite(ledF,!digitalRead(ledF)); delay(500);
digitalWrite(ledI,!digitalRead(ledI)); delay(500);
digitalWrite(ledS,!digitalRead(ledS)); delay(500);
digitalWrite(ledI2,!digitalRead(ledI2)); delay(500);
digitalWrite(ledC,!digitalRead(ledC)); delay(500);
digitalWrite(ledA,!digitalRead(ledA)); delay(500);
}
}

void RandomB(){
char randomnum;
randomnum=random(4,256);
PORTD=randomnum;
}
100 FIS241 Electrónica General
Bibliography
[1] Electrónica fundamental para cientı́ficos, J.J.Brophy
[2] Fundamentos de Electrónica Fı́sica y Microelectrónica, Albela/Martinez-Duart
[3] Estudio de los circuitos eléctricos Tomo I, Jean Lagasse

101
102 FIS241 Electrónica General
Chapter 7

LABORATORIO
1.- Relajación exponencial, Oscilaciones Amortiguadas y Resonancia
2.- Amplificadores con transistores
3.- Amplificadores Operacionales
4.- Circuitos Digitales.

103
104 FIS241 Electrónica General

7.1 LABORATORIO 1.
Relajación exponencial, Oscilaciones Amortiguadas
y Resonancia
OBJETIVOS. Introducir al estudiante en la parte experimental de la Teorı́a de Redes, calculando
en forma práctica los valores y parametros de los circuitos en cada apartado, haciendo uso; del generador
de onda, los componentes RLC y el osciloscopio, comparar los valores teóricos con los experimentales en
gráficos que merecen utilizar teorı́as ya estudiadas y reafirmar la validez de los métodos.

7.1.1 RELAJACION EXPONENCIAL


1.- Instalar el circuito RC (circuito 1) y RL (circuito 2).

2.- Con onda cuadrada, medir la constante de tiempo τ para tres diferentes valores crecientes de L y
C. Calcular la resistencia interna del generador considerar que este es sumado a R.

3.- Cambiar la fuente de onda cuadrada por otro senoidal y medir la amplitud y el desface para los
tres diferentes valores crecientes de L y C. Recalcular la resistencia interna del generador para la salida
senoidal.

7.1.2 OSCILACIONES AMORTIGUADAS


1.- Instalar los circuitos RLC (circuito 3 y 4), elegir valores de R, L y C para estudiar oscilaciones.

2.- Medir el decaimiento (constante de tiempo τ ) y la frecuencia de oscilación α y ω .

3.- Recalcular los valores RLC con los parámetros obtenidos, esta vez en forma práctica.

7.1.3 RESONANCIA, Espectro de frecuencia


1.- En los circuitos del punto 2, cambiar el generador de onda cuadrada por otra senoidal.

2.- Hacer un gráfico de e2 Vs frec. -sobre el condensador-

3.- Hacer un gráfico de desface φ Vs frec.

4.- Calcular las frecuencias ω0 , ω1 y ωH .

5.- Medir el parámetro Q -factor de calidad- sobre este gráfico.

6.- Recalcular los parámetros α y ω a través de Q.

7.- Comparar con los valores teóricos que debiera obtenerse.

8.- Para el circuito 3 repetir el cálculo en los puntos a y c para onda cuadrada y senoidal.
P. Miranda 105

7.1.4 Informe
El informe debe contener cuatro aspectos: Teorı́a, Parte experimental (datos y gráficos), Análisis y Con-
clusiones.

Nota: Importante!. Para los cálculos, en todo los casos en que se use la bobina se debe considerar su
resistencia interna RL , de igual forma del generador de funciones

Figure 7.1: Circuitos 1,2,3,4


106 FIS241 Electrónica General

7.2 LABORATORIO 2.
Reguladores y Amplificadores con transistores
OBJETIVOS Los temas de Reguladores y Amplificadores nos enseñan a precisar la utilidad y los
requerimientos de un amplificador en frecuencias medias, es decir la alimentación y la amplificación,
la alimentación incluye el transformador y diodos, la amplificación incluye el transistor, se calculan los
valores resistivos para polarizar según la amplificación deseada.

7.2.1 Rectificadores.-
En los circuitos 1a y 1b calcular: Vodc y Voac en la salida, introducir desde un generador de funciones
senoidales. Medir con el osciloscopio y contrastar con la medida en un tester, variar la frecuencia desde
30 Hz hasta 1khz.

7.2.2 Reguladores de voltage.-


Utilizando un transformador comercial, calcular el rizado en función a la carga y graficar VRL vs RL
de los circuitos 2a y 2b , tambien contrastar con la medida en el tester.

7.2.3 Polarización y Amplificación


Polarizar los circuito 3a) y 3b) y realizar los cálculos.-
a) Introducir en es tensión alterna hasta 1 voltio de amplitud, observar y medir e0 y e1 .
b) Conectar Re =0 (cortocircuitar) y observar la diferencia, si se satura recalcular R1.
c) Calcular el ancho de banda de ambos amplificadores, esto se logra haciendo variar la frecuencia de
entrada con amplitud constante.
d) Paralelo a la resistencia Re conectar un C2 con capacitancia semejanta a Re en frecuencia de
100Hz, luego observar la ganancia y volver a calcular el ancho de banda.

7.2.4 Amplificación Multietapa.-


Haciendo uso de los circuitos anteriores, conectar 3 amplificadores y recalcular la polarización para una
ganancia de 1000 y adaptar a un resistencia baja (ejemplo un parlante) y volver a calcular el ancho de
banda en amplitud y en fase, como en el circuito 4.

Informe.- El informe debe contener los cuatro puntos, Teorı́a, Experimento, Análisis y Conclusiones, en
cada experimento.
P. Miranda 107

Figure 7.2: Circuitos Laboratorio 2

HOJA DE DATOS DE TRANSISTORES DISPONIBLES


Código Tipo Material hfe frec(MHz) hie
2N169 NPN Ge 48 8.00
2N1413 PNP Ge 36 0.80
2N217 PNP Ge 50
2N404 PNP Ge 40 12.00
2N1086A NPN Ge 120 5.0
2B1638 PNP Ge 75 20.00
2N43A PNP Ge 40 1.30
2N167 NPN Ge 65 4.0
2N103 NPN Ge 5 300KHz
2N408 PNP Ge 65
2N410 PNP Ge 45
2N135 PNP Ge 20
2N107 PNP Ge 30 0.30
2N1671 Ujt
2N1595 Thyristor
2N1711 NPN Si 100 4.4kΩ
2SC372 NPN Si 70-240 80 1.6kΩ
2SC373 NPN Si 200-400 80 1.6kΩ
108 FIS241 Electrónica General

7.3 LABORATORIO 3.
Amplificadores Operacionales
7.3.1 Objetivos
El laboratorio 3 es una introducción al uso de Amplificadores Operacionales (AO), se mide algunas
parámetros de funcionamiento para caracterizar en amplificación y en frecuencia, luego se simulan solu-
ciones de ecuaciones diferenciales. La práctica se la realiza en el kit Operacional o armar en un protoboard.

7.3.2 Amplificador
Construir un amplificador y realizar las siguientes medidas:
1.- Para una ganancia de 30, encontrar el enacho de banda para una onda senoidal
2.- Cambiar la ganancia a 60 y volver a encontrar el ancho de banda.
3.- En la salida encontrar el tiempo de subida tr y el de bajada tf para una ein cuadrada, para ambas
ganancias a una misma frecuencia.

7.3.3 Oscilador de onda cuadrada


Construir con un A.O. un oscilador de onda cuadrada de rango de 1 Khz a 10 Khz, llamado también
multivibrador astable (pag. 340 J. Bropy). Realizar todo los cálculos.

7.3.4 Simulador
Armar un circuito para simular el movimiento parabólico, donde Y0 y V0 son constantes a elegir
mediante un potenciometro.
1
Y = Y0 + V0 t − gt2 (7.1)
2
donde el tiempo t se genera con onda diente de sierra.

7.3.5 Solución Ecuaciones Diferenciales


Armar un circuito que realice la solución de X, para cada ecuación, elegir los valores a,b para que nos
entregue ecuaciones amortiguado y sub amortiguado usando los potenciómetros.

Ẍ + aẊ + bX = 0 (7.2)

Ẍ + aẊ + bX = c (7.3)
Ẍ + aẊ + bX = Y (7.4)
en la tercera ecuación, Y es una onda cuadrada o senoidal Y(t).

7.3.6 Opcional
Conformar la ecuación. y=(4u+3v)z, Tal que u=cos(t), v=cos(10t), z=cos(100t)

7.3.7 Informe
Incluir: teorı́a, toma de datos con tablas y gráficos para cada punto, análisis y conclusiones.
P. Miranda 109

7.4 LABORATORIO 4, Digital


Resumen Se incorpora la tarjeta Arduino Uno de la figura 7.3, como base para realizar la práctica
digital, introduciendo un pequeńo program se usan los puertos digitales, con ello se posibilita la com-
prención de lógica combinacional y lógica secuencial, consistiendo en desarrollar tres proyectos para
simular; semáforos, matriz de leds y maneco de motores on/off, suficientes para practicar interacción
hardware software.

Introducción La práctica digital requiere varias compuertas lógicas y flip flops con un panel que
permita el ensamblado de alguna demostración digital, sin embargo en la actualidad la tarjeta Arduino
Uno 1 ha creado nuevas facilidades en base al microcontrolador (uC) Atmega 328P del fabricante Atmel,
mas una interfaz para USB y un sistema IDE de desarrollo PC-Arduino con el que se desarrolla nuestro
propio software, se carga y se ejecuta.
La bibliografı́a no requiere precisión, usamos la información existente en internet, la referencia atmega328 2
es inevitable pero no hace falta estudiarlo en este curso.

7.4.1 Simulación Semaforos


Considerar 12 leds que simulan un semáforo, distribuidos en una caja tipo prisma de base cuadrada y
altura h, Cada lado tiene tres leds de colores rojo, amarillo y verde. Suponiendo que es instalado en un
cruce de calles de doble vı́a y debe controlar el tráfico vehicular. El esquema hardware está en la figura
7.4.

1.1.- Diseńar la secuencia normal y construir el programa para tiempos iguales. Considerar caso dı́a
y caso noche.

1.2.- Incorporar en el programa el cruce de Avenida y Calle de relación de flujo tres a uno. Seleccionar
mediante un switch de entrada.

1.3.- Incorporar en el programa para mejorar el alto tráfico de acuerdo a la presencia de veı́culos.
Suponer que el programa tiene cuatro entradas (switch) que represetan vehı́culos.

7.4.2 Manejo Matriz de leds 8x8


Considerar la matriz de 8x8 leds conectado como en la fig.7.5, 16 pines de salida y 4 de entrada.

2.1.- Construir un programa que muestra ciclicamente 6 figuras diferentes.

2.2.- Construir figura que simule el movimiento de una persona como en los semáforos que autorizan
el paso de peatones.

7.4.3 Movimiento de vehı́culo


Se dispone un vehı́culo prototipo con tres ruedas, dos controlables y otra de equilibrio, las conecciones
son como en la fig.7.6, dispone de BT (Bluetooth). De acuerdo al ejemplo presentado en clases, diseñar.

3.1.- Una trayectoria senoidal de un metro pico a pico.

3.2.- Una trayectoria Lemniscata (x2 + y2 )2 = 2a2 (x2 − y2 ) en coordenadas cartecianas o r2 =


2a2 cos(2θ) en coordenadas polares.
1
https://www.arduino.cc/en/Guide/HomePage
2
Manual Atmel, Atmega 328P
110 FIS241 Electrónica General

7.4.4 Informe.-
En teorı́a reducir la explicación al programa, en la práctica, probar el experimento y tomar datos, en
análisis desarrollar una explicación teórico práctica junto a las medidas, y en conclusión destacar los
resultados.

Figure 7.3: Esquema tarjeta Arduino Uno

Figure 7.4: Conexión de leds

Figure 7.6: Conexión de motores


del vehiculo, M (motor), DR1
y Dr2 (Driver de corriente),BT
Figure 7.5: Conexión de matriz
(bluetooth), Battery (5 a 12Vdc)
8x8 leds modelo 1088AB-2
P. Miranda 111

7.4.5 Apendice A, Programas for(j=0;j<8;j++){


if((i==j) || (i+j==7))pixels[i][j]=1;
else pixels[i][j]=0;
Semaforos }
}}

/* semaforos.ino
Simulacion de semaforos en una calle de doble via
con Arduino Uno
FIS241 LAB4, Carrera de Fisica FCPN-UMSA La PAz-Bolivia
Movil 2 motores
Doc. Ing. P. Miranda, 2018
*/ //prog. motor on-off para FAPA2018
//.....ejemplo d0 rojo, d1 vamarillo, d2 verde // Prog. P.Miranda, Prog. ejemplo C.Nina (propietario )
uint8_t estados_semaforo[7]={0x01,0x04,0x00,0x04,0x00,0x04,0x02}; // A=Avance, R=Retroceso, D=Derecha, I=Izquierda, ST=Stop
// comandos: 0,1,2,4,5,6,8,9,A
void setup(){ // equival: ST,AD,RD,AI,AA,AII,RI,RII,RR
DDRB = 0x3F; //salidas Anodo leds //En celular usar 9 teclas con "Bluetooth Serial Controller"
DDRD = 0xFF; //salidas Anodo leds // Boton => Comando tx 1 caracter
DDRC = 0x00; //Entradas 4 pines // 1 2 3 => 4 5 1
testsemaforos(); // 4 5 6 => 6 0 9
} // 7 8 9 => 8 A 2

void loop(){ int IA1=8, IB1=9, IA2=10, IB2=11;


int tiempo=0;
semaforizar();
void setup(){
} Serial.begin(9600);
pinMode(IA1,OUTPUT);
void testsemaforos(){ pinMode(IB1,OUTPUT);
int i; pinMode(IA2,OUTPUT);
for(i=0;i<25;i++){ pinMode(IB2,OUTPUT);
PORTD=estados_semaforo[i]; }
delay(100); void loop(){
} if(Serial.available()){
} char dato=Serial.read();
//....ejemplo para un semaforo... Mover(dato); tiempo=0;
void semaforizar(){ }
PORTD=estados_semaforo[0]; delay(10000); if (tiempo<700) tiempo++; //temporiza
PORTD=estados_semaforo[1]; delay(10000); else Mover(’0’); //stop over time
PORTD=estados_semaforo[2]; delay(1000); delay(1); //1 ms
PORTD=estados_semaforo[3]; delay(1000); }
PORTD=estados_semaforo[4]; delay(1000);
PORTD=estados_semaforo[5]; delay(1000); void Mover(char m){
PORTD=estados_semaforo[6]; delay(2000); if(m>=0x30 && m<0x47){ //hexa ?
} if(m>0x39 && m<0x47)m-=7;
m &= 0xF;
writeport(m);
}
Matriz8x8 }
else Trayectorias(m);

/*matrix8x8.ino void writeport(char n){


Ejemplo manejo de matriz de leds 8x8 con char port;
Arduino Uno port = PORTB;
FIS241 LAB4, Carrera Fisica FCPN-UMSA La Paz Bolivia // port = PINB;
Doc. Ing. P. Miranda, 2018 port &= 0xF0;
Flujo de corriente de Anodo (Columnas) a port |= n;
Katodo (Filas) PORTB = port;
Matriz de leds 1088AB-2 }
*/
//.............Definiciones ........... void Trayectorias(char tra){
uint8_t pixels[8][8]; //matriz int i;
uint8_t row[8]={0,1,2,3,4,5,6,7}; //pines fila switch(tra){
uint8_t col[8]={8,9,10,11,12,13,14,15}; //pines col case ’G’: circulo(50,0); break;
case ’H’: circulo(50,1); break;
//............Inicializacion........... case ’I’: circulo(100,0);break;
void setup(){ case ’J’: circulo(100,1);break;
DDRB = 0x3F; //salidas anodo leds 6 pins case ’K’: ocho(50,0);break;
DDRC = 0x03; //salidas anodo leds 2 pins case ’L’: ocho(50,1);break;
DDRD = 0xFF; //salidas katodo leds 8 pins case ’M’: ocho(100,0);break;
} case ’N’: ocho(100,1);break;
}
//...........Ciclo principal .......... }
void loop(){
figura1(); //circulo radio=r en cm
refrescar_display(); //eje a eje = 14cm
} //1 giro rueda=20cm, vel=50cm/seg,
void circulo(int r, char direccion){
void refrescar_display(){ int i,d;
int i,j; d=(r-7)*100/(r+7);
for(j=0;j<8;j++){ //column char m=5; //on on Avanza
for(i=0;i<8;i++){ //fila char n=1; //on off gira derecha
if(pixels[i][j]==1){ if(direccion==1) n=4; //off on gira izq
digitalWrite(col[j],HIGH); int t=6.3*(r+7)/50; //tiempo, 6.3=2pi
digitalWrite(row[i],LOW); for(i=0; i<t; i++){
} writeport(m); delay(100-d);
} writeport(n); delay(d);
clear(); }
} }
}
void ocho(int r,char direccion){
void clear(){ if(direccion==1){
delay(1); //demora/intermitencia circulo(r,1);
PORTD=0xFF; //katodo off circulo(r,0);
PORTB=0; //Anodo off }
PORTC=0; //Anodo off else {
} circulo(r,0);
circulo(r,1);
void figura1(){ }
unsigned char i,j; }
for(i=0;i<8;i++){
112 FIS241 Electrónica General

N B2 A2 B1 A1 f acción
7.4.6 Guia de Laboratorio 4 0 0 0 0 0 ST Stop
1 0 0 0 1 AD Avance Derecha
Tema: DIGITAL - MANEJO MOVIL 2 0 0 1 0 RD Retrocede Derecho
3 0 0 1 1 ST Stop
4 0 1 0 0 AI Avance Izquirda
5 0 1 0 1 AA Avance Adelante
6 0 1 1 0 AII Avance Izq. dos llantas.
Autor: Doc. P. Miranda, Carrera de 7 0 1 1 1 ST Stop
Fisica FCPN-UMSA La Paz Bolivia 8 1 0 0 0 RI Retroceso izquierdo
9 1 0 0 1 RII Retroceso izq. dos llantas
A 1 0 1 0 RR Retroceso
B 1 0 1 1 ST Stop
C 1 1 0 0 ST stop
objetivo Se usa el producto de arduino uno y D 1 1 0 1 ST stop
el control de dos motores, adicionalmente se conecta E 1 1 1 0 ST stop
un módulo BT (Bluetooth) para controlar desde el F 1 1 1 1 ST stop
Celular.

Monitoreo via BT La programación se real-


iza en el PC, una vez testeado se utiliza la comuni-
Componentes Los componentes utilizados son cación BT conectanto la tarjeta HC-06 en lugar del
los que comunmente se pueden encontrar en el mer- PC, HC-06 tiene cuatro pines dos de alimentación y
cado para un simple movil de tres llantas, consisten dos de comunicación, y los comandos son números
en: que se tiene que enviar.
Placa base de plástico, Dos motores con dos llan- comandos: 0,1,2,4,5,6,8,9,A
tas, Una llanta giro 360, La tarjeta arduino uno, equival: ST,AD,RD,AI,AA,AII,RI,RII,RR
El driver HC-36, Una baterı́a power bank, Un BT En el Celular se instala el monitor BlueTooth Serial
HC-06, y por su puesto conoctores. Controller desde internet luego se programan las 9
teclas utilizadas en el siguiente orden:
Boton = Comando tx 1 caracter
Driver El módulo HC-36 consiste en un ampli- 1 2 3 = 4 5 1
ficador de corriente para alimentar al motor confor- 4 5 6 = 6 0 9
mando una estructura H 3 , una sintesis del esquema 7 8 9 = 8 A 2
circuital se ve en la figura 1. Sus caracterı́sticas son:
Tensión de funcionamiento 3.3-12V
Corriente de trabajo Max 0.8A Trayectorias Los siguientes pasos son, diseñar
Peso del producto 0,005 kg diversas trayectorias que uno desea. El control de
Para los controles del motor los estados de las en- cada rueda se impone, haciendo uso de la relación
tradas son: de vueltas denominado duty cicle, tambien puede
IB IA Motor usar el modo PWM (pulse width modulation).
0 0 Parado
1 0 Gira Horário
0 1 Gira Anti Horário
1 1 INVÁLIDO

Movimiento Luego de ensamblar el circuito,


se debe programar como en el apéndice para luego
programar el arduino, en el programa se toman se
incluyen las siguientes acciones de movimiento ya Figure 7.7: Conexión de los motores
como sistema de movil y las combinaciones posibles
producto de dos motores en acción Trayectorias sugeridas (polar y/o rectangular):
Movimiento: A=Avance, R=Retrocede, D=Derecha, Espiral de Arquimedes.- r = aθ
I=Izquierda, ST=Stop. Folio de Descartes.- r3 + y 3 = 3axy
Combinaciones: ST, AD, RD, AI, AA, AII, RI, RII, Caracol de Pascal.- r = b + acosθ
RR Cardiode.- r = a(1 + cosθ)
Utilizando las combinaciones binarias de cuatro bits Rosa de tres pétalos.- r = acos3θ
llenamos el siguiente cuadro Rosa de cuatro pétalos.- r = acos2θ
Folio de descartes.- x3 + y 3 = 3axy
3
http://www.roboliv.re/conteudo/ponte-h-hc- Ovalos de cassini.- r4 + a4 − 2ar2 cos2θ = b4
36
P. Miranda 113

Trayectorias, figuras de referencia.- Cardioide: r = a(1 + cos(θ))


Circular: r = c , c=cte. a=1.5, θ = 0 − 2π
r 2 = x2 + y 2
Caracol de Pascal: r = b + a · cos(θ)
Elipse: ( 1r )2 = ( cos(θ) 2 sen(θ 2
x ) +( b )
(x2 + y 2 − bx)2 = a(x2 + y 2 )
x 2 y 2
1 = (a) + (b) b=1.5, a=2.5, θ = 0 − 2π
a = 1.2 b = 2.0

Ovalos de Cassini: r4 + a4 − 2a2 r2 cos(2θ) = b4


El punto p es el producto a dos focos es constante,
Lemniscata: r2 = a2 cos(2θ) es b2 .
(x2 + y 2 )2 = a2 (x2 − y 2 ) (x2 + y 2 )2 + a4 − 2(x2 − y 2 ) = b4
a=2, θ = 0 − 2π

Folio de Descartes: x3 + y 3 = 3axy


3at 3at3
x = 1+t 3 y = 1+t 3
Espiral de Arquı́medes: r = a · θ
a=0.5, θ = 0 − 5π

Nota: Para cada caso el giro de cada llanta adquiere


una relación exclusiva que se debe estudiar, está
Rosa de tres pétalos: r = a · cos(3θ) basado en el PWM, donde T1 y T2 del periodo no
a=1.5, θ = 0 − 2π serán iguales, para genera las trayectorias.

Rosa de cuatro pétalos: r = a · cos(2θ)


a=1.5, θ = 0 − 2π
114 FIS241 Electrónica General

También podría gustarte