Está en la página 1de 35

República Bolivariana de Venezuela

Ministerio del Poder Popular para la Defensa


Universidad Nacional Experimental Politécnica
De la Fuerza Armada Nacional
UNEFA
Núcleo Sucre – Sede Cumaná

Circuitos Secuenciales

Profesora: Realizado por:


Pablo Bossio Zulymar Alpino
5to semestre, Sección “01”
Ing. de telecomunicaciones

Cumaná, Enero de 2022


Índice

Pág.

Introducción……………………..…………………………………………….……..…1

Análisis y diseños de circuitos secuenciales (contadores y registros)…...2-11

Sistemas secuenciales Flip–flops (R-S, J-K, tipo D y tipo T)…………..…..12-17

Memorias (lógica secuencial de registro)……………...................................17-31

Conclusión……………………………………………………………………………....32

Referencias Bibliográficas……………………………………………..……………..33
Introducción

Al hablar de sistemas, nos referimos al enfoque sistémico con el que serán


tratadas las funciones de conmutación. Dentro de este enfoque sistémico, existe
una gran área: los Sistemas Secuenciales.

Los sistemas secuenciales, son capaces de tener salidas no sólo en función


de las entradas actuales, sino que también de entradas o salidas anteriores. Esto
se debe a que los sistemas secuenciales tienen memoria y son capaces de
almacenar información a través de sus estados internos. En otras palabras un
Sistema Secuencial debe ser capaz de “memorizar” la evolución de los vectores
de entrada y determinar el vector de salida en función de la misma.

Este tipo de relación en donde al menos a un vector de entrada le


corresponde dos o más vectores de salida nos permite deducir que las salidas no
pueden obtenerse como funciones lógicas de las entradas como en el caso de los
Sistemas Combinacionales y representa una herramienta para determinar la
característica Combinacional o Secuencial de un problema en particular.

La realización de Sistemas Secuenciales se basa en realimentar un


Sistema Combinacional es decir: conectar sus salidas como entradas. Para
comprender por qué esta realimentación permite lograr comportamiento
secuencial, planteemos un ejemplo: Construir un Sistema Digital cuya salida
comande un LED que indique la situación de una puerta, si la puerta se ha abierto
alguna vez el LED debe encenderse (aún si la puerta se ha cerrado).

1
Análisis y diseños de circuitos secuenciales (contadores y registros)

 Registros. Tipos de registros: Registros de desplazamiento.

Los registros son circuitos secuenciales capaces de almacenar varios bits


de información.

Su representación gráfica es la de la Figura

La transferencia de información binaria a un registro puede ser de tipo:

 Serie, cuando los bits se transfieren uno a continuación de otro por


una misma línea.
 Paralelo, cuando todos los bits se transfieren simultáneamente,
utilizando tantas líneas como bits.

 Registro serie-serie

Es un registro donde la entrada de bits y la salida de bits tienen lugar en


forma serie.

En los sucesivos instantes de tiempo,


el aspecto que presentaría el registro, que
supondremos de 4 bits por simplicidad, sería
el dado por la Figura supuesta la secuencia
1101, entrando primero el bit de la derecha.

La salida de los bits tendrá el aspecto dado por la Figura

2
Un registro de este tipo se puede realizar con 4 biestables RS según la
Figura:

En cada flanco activo del reloj, se produce un desplazamiento hacia la


derecha de 1 bit. Por A0 saldrá la misma secuencia que entró por la Entrada Serie.

 Registro paralelo-serie

Si DESPL/CARGA = 0, el dato que hay en A, B, C, D pasa a QA, QB, QC,


QD, respectivamente. Se produce la transferencia desde fuera hacia dentro del
registro, en paralelo. Si A=0, se activa CLEAR del biestable A, y QA se pone a 0.
Si A=1, se activa PRESET del biestable A, y QA se pone a 1. Lo mismo sucede
con los restantes biestables.

Ahora bien, si
DESPLA/CARGA = 1, tanto
PRESET como CLEAR
están inactivas, puesto que
a las salidas de las puertas
NAND hay un 1, y PRESET
y CLEAR son activas a
nivel bajo. El efecto es
equivalente a que no
existieran las puertas, con lo cual el registro se comporta como la serie-serie,
sacando los bits al ritmo del reloj.

3
 Registro serie-paralelo

Los datos se introducen como en un registro serie-serie, pero la salida no


es única, sino que cada biestable proporciona salida.

 Registro paralelo-paralelo

El registro paralelo-paralelo está según la


Figura. Mientras Enable (E) y Control de Salida
(CS) estén desactivadas, a nivel 0, la situación
se mantiene como estuviera antes, pero si E=1,
los datos que en este momento hubiera en las
entradas 1D, 2D, 3D,... 8D, aparecen en las
salidas 1Q, 2Q, 3Q,... 8Q puesto que el
biestable D lo único que hace es transferir el
dato desde la entrada a la salida. Si E=0,
aunque cambie la entrada, los datos de salida
se mantienen.

Si CS se pone a 1, la salida se vuelve de


alta impedancia independientemente de E y de
los biestables. La alta impedancia es una
especie de “tercer estado” que no es ni cero ni uno, sino una situación que

4
eléctricamente es como si no existiera conexión física, no acepta ni entrega
corriente.

 Registros universal de desplazamiento

Es un circuito que se comporta como los anteriores dependiendo de cómo


se configure con las líneas de control. En la Figura aparece su esquema. El
modelo comercial que corresponde a este circuito es el 74194. El reloj es activo
por flanco de subida.

Dependiendo de qué señales se apliquen a S1 y S2 tendremos los


siguientes comportamientos:

Si S1=0 y S2=0, los MUX llevan a la entrada del biestable las salidas de los
anteriores biestables o la entrada de datos serie, en el caso del primer biestable.
Con cada pulso del reloj se produce un desplazamiento a derecha.

Si S1=0 y S2=1, los MUX llevan a la entrada de los biestables los datos en
forma paralelo. Dichos datos están disponibles a la salida en forma paralelo, o en
forma serie por QD.

Si S1=1 y S2=0, los MUX llevan a la entrada de los biestables sus propias
salidas, con lo que mantienen su estado a pesar de los ciclos de reloj.

Por último, si S1=1 y S2=1, los MUX llevan a las entradas de los biestables
las salidas de los biestables siguientes, con lo que se produce un desplazamiento
de los datos hacia la izquierda.

Registros de almacenamiento

5
 Registro de almacenamiento con biestables por flanco

 Registro de almacenamiento con biestables por latch. 7475 y 7477

 Contadores asíncronos. Contadores síncronos. Otros contadores.

Los contadores son circuitos secuenciales que tienen unas líneas cuyo
valor binario de salida es el resultado del número de veces que recibe un
determinado impulso de conteo.

 Contadores asíncronos

Son aquéllos en que el impulso de conteo no se recibe simultáneamente en


las entradas CLK de todos los biestables. En la Figura podemos ver un contador
asíncrono. También aparecen los cronogramas de las señales de salida.

6
En la transición alto-bajo del reloj, el biestable cambia de estado y, por
tanto, de salida, ya que sus entradas están a 11. En la salida QA aparece una
onda a frecuencia mitad que el reloj de entrada, que es del que se cuentan los
pulsos.

Las salidas de los biestables se conectan a la entrada de reloj de los


siguientes biestables, con lo que cada uno de ellos divide la frecuencia por 2.

Si QA se considera el bit menos significativo, se puede comprobar que los


valores de las cuatro salidas se corresponden con los números binarios de 0000
hasta 1111 repitiéndose periódicamente este proceso. Existe un cierto retardo
debido al retardo de los biestables.

Se pueden dar varios casos respecto a cómo son las entradas de reloj y a
las conexiones para ver el sentido de cuenta:

7
 CLK activas flanco de bajada y conexión en Q: ASCENDENTE
 CLK activas flanco de bajada y conexión en /Q: DESCENDENTE
 CLK activas flanco de subida y conexión en Q: DESCENDENTE
 CLK activas flanco de subida y conexión en /Q: ASCENDENTE

Con circuitería externa adicional se puede hacer que el contador vuelva a la


posición 0000 antes de llegar a 1111, con lo que con n biestables se puede hacer
un contador que cuente desde 0 hasta un número menor o igual que 2n -1. Dicha
circuitería es una puerta NAND de tantas entradas como biestables conectadas a
las salidas afirmadas o negadas de los biestables que convengan. Incluso se
puede inicializar en cualquier otro número que no sea 0, usando las entradas
asíncronas de PRESET y CLEAR que sean necesarias.

Ejemplo: si un contador asíncrono debe contar en sentido ascendente entre


4 y 12, se deberá poner una puerta NAND para detectar el 13 y forzar a que la
situación inicial ponga 4. Para ello, se conecta a la puerta NAND QA, /QB, QC y
QD. La puerta dará salida 0 sólo cuando detecte 13 y ese 0 forzará el 4
colocándolo a las entradas asíncronas de CLEAR de QA, QB y QD, y a la entrada
de PRESET de QC, suponiendo que son activas a nivel bajo.

 Contadores síncronos

8
Los pulsos de reloj (que son los pulsos a contar) activan las entradas CLK
de todos los biestables al mismo tiempo (de ahí su nombre). Se elimina el
problema del retardo, con lo que se puede trabajar a frecuencias mayores.

Sólo el primer biestable tiene sus entradas a "1". Las restantes entradas
son excitadas por productos de las salidas de los propios biestables. Vamos a ver
cómo se diseña un contador síncrono a partir del diseño de circuitos secuenciales.

Para ello usamos la técnica habitual de diseño de circuitos secuenciales. Lo


vamos a diseñar en sentido creciente, pero también se podría diseñar en sentido
decreciente o de cualquier manera que se nos ocurriera.

Con lo que queda el circuito de la Figura.

 Contador reversible

9
 Contadores basados en registros de desplazamiento: Contador en
anillo. Contador Johnson.

10
El contador Johnson cuenta en código Johnson, que en el caso de 4 bits
sería:

Sistemas secuenciales Flip–flops (R-S, J-K, tipo D y tipo T)

Los circuitos secuenciales son aquellos en los cuales su salida depende de


la entrada presente y pasada. Dentro de estos circuitos se tienen a los Flip-Flops.

Los Flip-Flops son los dispositivos con memoria más comúnmente


utilizados. Sus características principales son:

 Asumen solamente uno de dos posibles estados de salida.

 Tienen un par de salidas que son complemento una de la otra.

11
 Tienen una o más entradas que pueden causar que el estado del Flip-Flops
cambie.

A continuación se describirán los 4 tipos de Flip-Flops.

 Flip-Flop S-R  (Set-Reset)

La siguiente figura muestra una forma posible


de implementar un Flip-Flops S-R. Utiliza dos
compuertas NOR. S y R son las entradas, mientras
que Q y Q’ son las salidas (Q es generalmente la
salida que se busca manipular).

Como existen varias formas de


implementar un Flip-Flops S-R (y en
general cualquier tipo de Flip-Flops)
se utilizan diagramas de bloque que
representen al Flip-Flops. El
siguiente diagrama de bloque
representa un FF S-R. Nótese que
ahora, por convención, Q se encuentra en la parte superior y Q’ en la inferior.

Para describir el funcionamiento de un FF se


utilizan las llamadas Tablas de Estado y las
Ecuaciones Características. La siguiente tabla
muestra la tabla de estado para un FF S-R.

Como encabezado de las columnas tenemos


las entradas S y R, y una de las salidas Q. La
salida Q es la salida que en un tiempo t se puede
detectar en el FF, es decir, es la salida en el tiempo
actual. Q+ es la salida en el tiempo t + e, una vez que se ha propagado la señal en

12
el circuito (recuerde que los FF tienen un componente de retroalimentación.) Por lo
tanto Q+ = Q (t + e), es decir, es la salida que tendrá Q en el futuro – una vez que
se haya realizado la propagación.

Si analizamos la tabla de estado, vemos que para sí S = 0, R = 0 y Q = 0 ó


1, la salida futura de Q (Q+) será siempre lo que se tenía antes de la propagación.
A este estado (S = 0, R = 0) se le conoce por tanto como estado de memoria.

Viendo ahora el caso S = 0, R = 1, se aprecia que siempre Q+ = 0 sin


importar el valor de Q antes de la propagación, es decir, se hace un reset de Q. Si
por el contrario, se tiene S = 1, R = 0, entonces Q+ = 1 en ambos casos, por tanto
se hace un set de Q.

Finalmente, nótese que la combinación S = 1, R = 1 no es válida en el FF S-


R. La razón es que dicho estado vuelve inestable al circuito y, como una de las
características de todo FF es que el estado es estable, al usar dicha combinación
se está violando este principio de los FF.

Ahora, si se mapea la información de la tabla de estado del FF S-R en un


mapa de Karnaugh, se obtiene la siguiente ecuación característica: Q+ = S + R’Q.
Esta ecuación describe también el funcionamiento. Nos dice que Q+ será 1
siempre y cuando se haga un set del FF o el reset no está activado y la salida
tiene un 1 en ese momento.

 Flip-Flops T

El Flip-flops T cambia de estado en cada


pulso de T. El pulso es un ciclo completo de cero  a
1. Las siguientes dos figuras muestran el diagrama
de bloque y una implementación del FF T mediante
un FF S-R y compuertas adicionales.

13
  Nótese que en la
implementación del FF T, las
dos entradas del FF S-R están
conectadas a compuertas
AND, ambas conectadas a su
vez a la entrada T. Además, la
entrada Q está conectada a R y Q’ a S. Esta conexión es así para permitir que el
FF S-R cambié de estado cada que se le mande un dato a T. Por ejemplo, si Q = 1
en el tiempo actual, eso significa que Q’ = 0, por lo tanto, al recibir T el valor de 1,
se pasaran los valores de R = 1 y S = 0 al FF S-R, realizando un reset de Q.

La siguiente tabla muestra el


comportamiento del FF T y del FF S-
R en cada pulso de T

La tabla de estado para el FF T se


presenta a continuación. Es muy sencilla: cuando
T = 0 el estado de Q no cambia, es decir Q = Q+
(estado de memoria), cuando T = 1, Q es
complementada y, por lo tanto, Q+ = Q’.

De la tabla de estado anterior, se obtiene la siguiente ecuación


característica para el FF T

Q+ = T ’Q + TQ´ = T  Q

14
Ahora bien, analicemos un poco más el comportamiento del FF T y
tratemos de responder la siguiente pregunta: ¿Qué pasa si T=1 por mucho
tiempo?

Los valores de S y R cambiarían constantemente de la siguiente manera:

S =   0 -> 1 -> 0 -> 1

R =    1 -> 0 ->1 -> 0

Es decir, el FF empezaría a oscilar y por tanto no mantendría el estado


(inestable.) Por lo tanto, la mayoría de los FF utilizan un reloj para determinar en
qué momento se tomará en cuenta el valor que se encuentre en la entrada del FF.
La siguiente figura muestra un FF T con reloj (CK).

Nótese que la entrada marcada como CK


tiene un círculo. Este círculo indica que el FF
tomará en cuenta la entrada del FF cuando el
pulso del reloj sea cero (0). Si es uno (1), la
entrada no será tomada en cuenta.

 Flip-Flops  J-K

El flip-flops J-K es una mezcla entre el flip-flops S-R y el flip-flops T. Esto


ocurre de la siguiente manera: 

 En J=1, K=1 actúa como Flip-flops T


 De otra forma, actúa como flip-flops S-R

El siguiente diagrama de bloque es el


perteneciente el FF J-K

15
 

Una implementación
tentativa de un FF J-K a
partir de un FF S-R sin reloj
es la siguiente:

La tabla de estado aparece a continuación. Note que es muy


parecida a la del FF S-R solo que ahora los estados de J=1 y K=1
sí son válidos.

De la tabla anterior se obtiene la siguiente


ecuación característica mediante mapas de
Karnaugh: Q+ = QK’ + Q’J. Este flip-flops es uno
de los más comunes con reloj. El siguiente
diagrama lo muestra con entrada para reloj:

 Flip-Flops D (Delay)

El flip-flops D es uno de los FF más sencillos. Su


función es dejar pasar lo que entra por D, a la salida Q,
después de un pulso del reloj. Es, junto con el FF J-K, uno de

16
los flip-flops más comunes con reloj. Su tabla de estado se muestra a
continuación:

De la tabla se infiere que la ecuación


característica para el FF D es: Q+ = D. El siguiente
diagrama de bloques representa este flip-flops.

Memoria (lógica secuencial de registro)

La memoria es un bloque fundamental del computador, cuya misión


consiste en almacenar los datos y las instrucciones. En la figura se muestra la
estructura de un computador, en donde el bloque de memoria se halla dividido en
dos partes: memoria principal interna y memoria externa de almacenamiento
masivo. La memoria interna debe estar en continua relación con la Unidad Central
de Proceso (UCP) para abastecerla de los datos e instrucciones que precise. Esta
íntima comunicación con la UCP exige a la memoria una elevada velocidad de
funcionamiento, que sólo se puede conseguir de una manera eficaz cuando está
construida con semiconductores bajo la forma de CI. Como las memorias de
semiconductores no alcanzan grandes capacidades de almacenamiento de
información, también se utilizan otras, más lentas, de almacenamiento masivo,
que intercambian con la memoria principal la información que requiere la UCP La
información que manejan los computadores digitales es de tipo binario, por lo que
la memoria es un dispositivo que almacena bits lógicos 1 y 0, de forma que en
cualquier momento se puede acceder a un bit o a un grupo de ellos y recuperar su
valor.
En la figura, la UCP se encarga de buscar las instrucciones y los datos en la
memoria principal. Una vez decodificada la instrucción y conocida la operación a
realizar, la Unidad Aritmética la lleva a cabo al introducirle los datos que actúan
como operandos y están guardados en la memoria. El resultado obtenido en la

17
operación se puede almacenar en la memoria o sacarlo al mundo exterior a través
de las entradas y salidas, respectivamente.

Estructura de un
computador digital. La
memoria principal es rápida
y de poca capacidad,
mientras que la externa es
más lenta pero de elevada
capacidad.

Frecuentemente, la memoria principal no dispone de suficiente capacidad


para contener todos los datos e instrucciones, en cuyo caso se necesitan otras
memorias auxiliares que funcionan como periféricos del sistema y cuya
información se transfiere a la memoria principal cuando es preciso. Las memorias
externas son más lentas que la principal, pero poseen una capacidad de
almacenamiento enorme.

Las celdas de las memorias sólo pueden almacenar un bit 1 o 0. Se


denomina punto de informacional elemento de la memoria que es capaz de
almacenar un bit. La implementación física de un punto de memoria es muy
diversa y depende de la tecnología de fabricación. Así, existen puntos de memoria
implementados como flip-flops con semiconductores, otros son almacenados en
discos y cintas en los que se magnetizan pequeñas áreas de su superficie y
también hay puntos de memoria sin una posición fija sobre el soporte físico,
desplazándose a lo largo del mismo, como sucede en los dispositivos de burbujas

18
magnéticas. Para almacenar y recuperar un bit de un punto de memoria se
requiere una combinación de las siguientes señales:

 Señales de direccionamiento. Seleccionan o determinan la posición de un


bit de memoria.
 Orden de lectura o escritura. Elige la operación que se va a realizar.
 Señal de reloj. Sincroniza la entrada y salida del bit en la memoria.

 Estructura de la memoria

El modelo estructural de memoria que más ha influido fue el modelo


multialmacén propuesto por Atkinson y Shiffrin. La idea principal es que la
memoria no es una entidad única sino que está formada por varias estructuras
principales que se representan de forma gráfica como distintos almacenes; y por
una serie de procesos de control que tienen como función dirigir el flujo de
información a través de los distintos almacenes de memoria.

Según el modelo, la memoria está formada por tres almacenes diferentes


que forman las estructuras del sistema de memoria. Estos son los registros
sensoriales, el almacén de memoria a corto plazo y el almacén de memoria a largo
plazo.

Los registros sensoriales se refieren solo al registro visual y auditivo. Una


característica importante es que la información que llega del medio ambiente a los
registros sensoriales se registra en paralelo. De estos registros, la información
pasa al almacén de memoria a corto plazo que tiene una capacidad limitada. Este
almacén comunica con el almacén de la memoria a largo plazo, el cual es un
almacén de memoria permanente cuyo contenido puede acompañar al individuo
durante toda su vida.

El primer modelo estructural del procesamiento de la información fue


propuesto por Broadbent. El filtro selectivo, que para él es la atención, impide el
paso a la información no deseada pero permite pasar a ciertos elementos que
llegan al sistema para su total procesamiento dentro del sistema cognitivo

19
humano. El almacén entre los sentidos y el filtro retiene durante un breve periodo
de tiempo la información para que pudiera ser atendida y procesada en un
momento temporal posterior.

El modelo de Atkison y Shiffrin está formado por tres estructuras o almacenes


de memoria. La idea más importante que querían sus autores expresar es que las
estructuras de memoria son almacenes fijos pero los procesos de control que
dirigen el flujo de información entre dichos almacenes son sistemas activos.

 Celda de memoria

La celda de memoria es el bloque de construcción fundamental de la


memoria de la computadora. La celda de memoria es un circuito electrónico que
almacena un bit de información binaria y debe configurarse para almacenar un 1
lógico (nivel de voltaje alto) y reiniciarse para almacenar un 0 lógico (nivel de
voltaje bajo). Su valor se mantiene / almacena hasta que se cambia mediante el
proceso de configuración / reinicio. Se puede acceder al valor en la celda de
memoria leyéndolo.

A lo largo de la historia de la informática, se han utilizado diferentes


arquitecturas de celdas de memoria, incluida la memoria central y la memoria de
burbujas. En la actualidad, la arquitectura de celda de memoria más común es la
memoria MOS, que consta de celdas de memoria de semiconductores de óxido
metálico (MOS). La memoria de acceso aleatorio (RAM) moderna utiliza
transistores de efecto de campo MOS (MOSFET) como flip-flops, junto con
condensadores MOS para ciertos tipos de RAM.

La celda de memoria SRAM (RAM estática) es un tipo de circuito flip-flops,


generalmente implementado mediante MOSFET. Estos requieren muy poca
energía para mantener el valor almacenado cuando no se accede a ellos. Un
segundo tipo, DRAM (RAM dinámica), se basa en condensadores MOS. La carga
y descarga de un condensador puede almacenar un '1' o un '0' en la celda. Sin
embargo, la carga de este condensador se escapará lentamente y deberá
renovarse periódicamente. Debido a este proceso de actualización, DRAM usa

20
más energía. Sin embargo, DRAM puede lograr mayores densidades de
almacenamiento.

Por otro lado, la mayoría de las memorias no volátiles (NVM) se basan en


arquitecturas de celdas de memoria de puerta flotante. Las tecnologías de
memoria no volátil, incluidas EPROM, EEPROM y memoria flash, utilizan celdas
de memoria de puerta flotante, que se basan en transistores MOSFET de puerta
flotante.

 Características generales

Una memoria consiste en un conjunto de registros, cada uno de los cuales


alma cena varios bits. Cada registro o posición de la memoria guarda unos bits
que constituyen un dato o una instrucción del computador y reciben el nombre de
palabra. Normalmente, el tamaño de las palabras que emplean los computadores
está comprendido entre 4 y 64 bits.

Cada palabra ocupa una posición de memoria a la cual se la referencia con


una dirección. Así, cuando una memoria está formada por ocho palabras de 4 bits,
su estructura interna puede representarse como se refleja en la figura, en donde
cada palabra queda especificada por una dirección.

En general, el tamaño de las palabras es un múltiplo de 8 bits. Recibe el


nombre de byte el conjunto de 8 bits. Cuando se hace mención de la capacidad de
una memoria, la unidad de medida suele ser el byte, aunque a veces también se
expresa en bits. Para no confundir ambas unidades, la primera se representa
abreviada mente con B: byte y la segunda con b: bit. De esta forma, 64 B significa
64 bytes, mientras que 16 b representa 16 bits. En el caso de la memoria de la
figura, el tamaño podía indicarse como de 8 x 4 b, es decir, ocho palabras de 4
bits.

21
Estructura interna de
una memoria formada por ocho
palabras de 4 bits cada una.

 Capacidad

Es el número total de bits que puede almacenar una memoria. Dicho


número debe ser una potencia de 2, puesto que el número de posiciones que
pueden existir se referencian con direcciones de n bits, lo que significa que la
cantidad será 2n. Por otra parte, las palabras tienen un tamaño que es potencia de
2, desde 22 =4 hasta 27 = 64 bits.

La capacidad se suele expresar por el producto palabras x número de bits


por palabra. Así, la capacidad de una memoria de 1.024 palabras de 32 bits cada
una se expresa como 1.024 x 32.

Aunque las memorias sólo pueden tener como número de posiciones más
próximo a 1.000 el valor 210= 1.024, para abreviar esta cantidad se define 1K=
1.024, cuando se habla de memorias. De esta forma, 8K= 8.192 y 16K= 16.384.
Igualmente, el número de posiciones que puede existir más próximo al millón es
220 = 1.048.576, cantidad que se representa abreviadamente por 1M (1Mega).
Por último, con la abreviatura G se representan los "Gigas", teniendo en cuenta
que 1G= 1.024M.

 Direccionamiento

22
Se llama dirección a un número binario que sirve para definir una posición
con creta de la memoria. Existirán tantas direcciones como posiciones, por lo
tanto, si hay 2n posiciones, la dirección se compone de n bits, ya que con dicho
número de bits se pueden realizar 2n combinaciones diferentes. Por ejemplo, en
una memoria que consta de 1 K posiciones, la dirección está formada por 10 bits,
puesto que 210 = 1 K. La primera dirección se compondrá de 10 ceros, mientras
que la última tendrá 10 unos.

 Mecanismo de direccionamiento

Se encarga de determinar la posición de memoria a acceder.

En una memoria estática el mecanismo de direccionamiento es inherente a


su propia construcción. El conexionado de los transductores, junto a la selección
de estos últimos, especifica, de forma inequívoca, el punto de memoria al que se
accede. Por este motivo, este tipo de memoria recibe la denominación de memoria
de direccionamiento cableado.

Por el contrario, en las memorias dinámicas, al estar compartidos los


transductores, no existe la mencionada relación. La selección se consigue
mediante la Unidad de Control, que interpreta una información adicional, que se
llama información de direccionamiento, y que se almacena junto a los datos. A
continuación se describen los diversos tipos de direccionamiento.

 Direccionamiento cableado.

El mecanismo de direccionamiento de una memoria estática ofrece dos


formas típicas de implementación, 2D y 3D. Para analizarlas, se considera que la
memoria tiene 2m palabras de n bits cada una. Se trata de acceder simultánea
mente a los n bits de una palabra, cuya dirección queda definida con m bits.

 Direccionamiento 2D

Tal como se muestra en la figura, en este direccionamiento todos los bits de


la misma posición en cada palabra (bits 0, 1,...) están conectados a la misma

23
pareja de transductores. Habrá n parejas de transductores para la lectura y la
escritura.

Para seleccionar la palabra deseada se decodifican los m bits de dirección


en un decodificador m x 2m, que tiene una señal de salida individualizada para
cada palabra de memoria.

Se usa la misma conexión para la lectura que para la escritura, bastando


activar el transductor correspondiente para definir la operación.

Organización de
una memoria con
direccionamiento 2D.

 Direccionamiento 3D

Como se refleja en la figura 11-8, se establecen n planos de memoria (uno


para cada bit de la palabra). Dentro de cada plano, se selecciona el punto de
memoria haciendo coincidir las líneas de selección X e Y.

La dirección de
m bits se divide en dos
partes mx y my, que se
decodifican en dos
decodificadores de 2mx
y 2my salidas,
respectivamente. Las
señales de salida 2mx y

24
2my se usan como coordenadas que seleccionan entre las 2m posiciones de cada
plano de memoria.

Organización de una memoria con direccionamiento 3D.

Cada plano tiene una pareja de transductores de lectura y escritura, que


están conectados a todos los puntos del plano. La ventaja del método 3Destriba
en que dos decodificadores de mx y my entradas son mucho más sencillos que
uno de mx + my = m entradas. Como inconveniente, el punto de memoria es más
complejo, puesto que debe ser capaz de activarse sólo cuando sus dos líneas x e
y estén activas.

 Direccionamiento en memorias dinámicas y de propagación

En estas memorias hay que añadir una información adicional de


direccionamiento, que se almacena ocupando parte de los puntos de memoria. La
técnica más empleada consiste en empaquetar la información en bloques o
registros a los que se añade una cabecera, que, entre otras cosas, incluye una
identificación del bloque o registro.

25
La información se
empaqueta en un bloque
que contiene una cabecera.

 Tiempo de acceso

Es el tiempo que tarda una memoria en realizar una operación de lectura.


Abreviadamente se llama tACC, y se mide en ns. Es un parámetro que mide la
velocidad de funcionamiento de la memoria, porque determina el tiempo que
transcurre entre el instante en que se aplica una nueva dirección a la entrada del
dispositivo y la aparición de la información almacenada en la salida. En la
tecnología TTL, el tiempo de acceso suele ser menor de 100 ns, siendo algo
superior en la tecnología MOS.

 Memorias de semiconductores

Este tipo de memoria es el que se emplea en la actualidad, con carácter


universal, como memoria principal de los computadores. Su comportamiento es
similar en todas sus variantes y se basa en las características que se exponen en
el siguiente apartado.

 Memorias FIFO
Las memorias FIFO (First In, First Out) son las memorias de acceso serie,
en donde la primera información que entra en memoria es a primera en
salir.

 Memorias LIFO

26
Las memorias LIFO (Last In, First Out) son memorias de acceso serie, en
donde la última información (palabra) que entra en memoria es la primera
en salir.

 Memorias ROM

Son memorias de sólo lectura y están diseñadas para mantener la


información de forma permanente. Teniendo en cuenta la duración de la
información, las memorias ROM son del tipo no volátil, pues la falta de
alimentación no altera el contenido de sus celdas.

En estas memorias todos los datos quedan grabados durante su fabricación


o en una operación independiente. Realizada la grabación, los datos no pueden
modificarse. Se emplea en aquellas aplicaciones que requieren una salida
invariable o un programa de trabajo fijo, una y otra vez, como sucede con el
programa que tienen almacenado los generadores de caracteres alfanuméricos en
sistemas con presentadores visuales y en las tablas de referencia que contienen
las calculadoras.

La estructura de las celdas de una ROM es muy sencilla, pues suele estar
constituida por un diodo o un transistor. En algunos casos especiales está formada
por dos transistores. Esta simplicidad confiere a las ROM unas características muy
ventajosas, como sucede con la alta densidad de integración, que permite
alcanzar en los CI elevadas capacidades de almacenamiento. También tienen un
precio reducido y un tiempo de acceso pequeño. Las ROM bipolares poseen
tiempos de acceso inferiores a los 100 ns, mientras que las fabricadas con
tecnología MOS son algo más lentas. En la figura se muestra la estructura de dos
celdas típicas de memoria ROM.

27
En la parte superior, una
celda de memoria ROM basada en
un transistor y, en la parte inferior,
otra celda construida sobre un
diodo. Si se efectúa la conexión
programable, la celda almacena un
bit 1, y, en caso contrario, un bit 0.

La celda formada por un transistor en la figura dispone de una conexión en


la Base que puede unirse o no con la línea de la señal de selección. Para leer el
bit escrito en dicha celda se aplica un voltaje positivo en la señal de selección, que
se transfiere a la Base del transistor cuando se ha efectuado la conexión; en esta
situación, el transistor se satura, quedando prácticamente +VCC en la resistencia
R, haciendo que el bit de información corresponda a un nivel lógico alto, o sea, un
1. Si la conexión entre la Base y la línea de selección está abierta, el transistor no
conduce, dejando sin tensión a la resistencia R, con lo que el bit de información
corresponde a un nivel lógico bajo, o sea, un 0.

La celda basada en un diodo en la figura tiene un comportamiento similar a


la del transistor. En caso de tener realizada la conexión programable, al aplicar +
Vcc en la línea de selección el diodo queda polarizado directamente y la mayor
parte de dicha tensión queda en la resistencia R, lo que representa que el bit de
información es un 1. Si la conexión está abierta, al no poder circular corriente por
el diodo, el nivel lógico que representa la celda es bajo, es decir, almacena un 0.

28
 Memorias RAM

Las celdas de estas memorias pueden ser leídas y escritas indistintamente.


Son de acceso aleatorio, lo que significa que se puede acceder a cualquiera de
ellas sin seguir un orden preestablecido. Según el tipo de construcción usado en
las celdas, las RAM se clasifican en estáticas y dinámicas. Si se tiene en cuenta la
tecnología de fabricación empleada, puede distinguirse entre las bipolares y las
MOS.

Las RAM reciben el nombre de "memorias vivas", porque su característica


primordial es que se pueden leer, escribir y, luego, volver a ser leídas, repitiendo
este proceso cuantas veces se desee.

La lectura no es destructiva, o sea, cuando se lee una celda, la información


permanece invariable en ella hasta que no se efectúe una operación de escritura.
Un grave inconveniente de las RAM es su volatilidad, que supone la pérdida de la
información almacenada en las celdas cuando se elimina la alimentación de
energía eléctrica. Últimamente se han presentado en el mercado algunas
versiones de RAM no volátiles, que mantienen la información escrita en sus
celdas, pasando al estado de standby y empleando unas minúsculas baterías. La
estructura interna de las memorias RAM puede adoptar una de las dos siguientes
configuraciones:

 A cada dirección corresponde una celda o bit.


 A cada dirección corresponde una posición con varias celdas, que suelen
ser 4, 8 o 16.

En la figura se muestra la estructura de una memoria de 256 x 1, configurada en


una matriz de 16 filas y 16 columnas.

29
Memoria RAM
de 256 x 1,
estructurada en una
matriz de 16 filas y
16 columnas.

Mediante ocho líneas de direcciones, cuatro para el decodificador de filas y


otras cuatro para el de columnas, se controla la matriz presentada en la figura 11-
30. El cruce de la fila y la columna seleccionadas determina la celda a la que se
accede.

Si se precisase una memoria RAM de 256 x 4, puede implementarse


utilizando cuatro RAM de 256x 1, tal como se muestra en la figura, de la que se
indica la misión de las diversas señales que aparecen en ella.

 A0-A7: líneas de direcciones.


 E1-E4: líneas de entrada de datos.
 S1-S4: líneas de salida de datos en operaciones de lectura.
 R/W : determina si se realiza una operación de lectura o escritura.
 CS: su activación permite el funcionamiento del chip.

30
Configuración de una
memoria de 256 x 4, a base
de módulos de256 x 1.

Para escribir un dato en una posición de una RAM, se coloca en las líneas
de direcciones el código de la dirección correspondiente, se deposita la
información a grabar en las líneas de entrada de datos y se activa la señal de
escritura R/W = 0, con CS = 1. En las RAM dinámicas la aplicación del dato se
debe sincronizar mediante una señal de reloj independiente, mientras que en las
estáticas se introduce de forma asíncrona. En una operación de lectura se
comienza direccionando la posición a leer, se pone R/ W = 1 con CS = 1, y,
después, se recoge la información en las líneas de salida de datos.

31
Conclusión

El análisis de los circuitos secuenciales consiste en obtener una tabla o un


diagrama de las secuencias de tiempo de las entradas, salidas y estados internos.
También es posible escribir expresiones booleanas que describen el
comportamiento de los circuitos secuenciales. Sin embargo, esas expresiones
deben incluir la secuencia de tiempo necesaria ya sea en forma directa o indirecta.

Un diagrama lógico se reconoce como el circuito de un circuito secuencial e


incluye los flip-flops.

Los flip-flops puede ser cualquier tipo y el diagrama lógico puede o no


incluir compuertas combinacionales.

32
Referencias Bibliográficas

 Angulo Usategui José Y García Zubia Javier - Sistemas Digitales Y


Tecnología De Computadores

33

También podría gustarte