Está en la página 1de 12

1-Concepto de circuito secuencial sincrónco y ásincrónico. Explicar diferencias.

2-Enumerar las caracteristicas fundamentales que diferencian a  los circuitos combinacionales


y los secuenciales.
3-Describir los distintos tipos de flip flop que existen , indicando ademas sus usos.(RS JK T D)
Anexar tablas de estados de cada uno.
4-Qué son los diagramas de tiempo ó cronogramas? 
5-Qué son las entradas sincrónicas y las entradas ásincrónicas en los flip flop?. Indicar orden de
prioridades. Dar un ejemplo sencillo con un flip flop que incluya  a ambos tipos.
6-Flip Flop D, describir un IC comercial, indicando pinout.
7-Dibujar y describir brevemente el funcionamiento de un divisor de frecuencias x 4 realizado
con FF.
8-Describir y dibujar un circuito  contador de 0 a 9 con FF y su conexion con un display 7
segmentos.

Respuestas:

1- Asíncronos: evolucionan a cada cambio de entrada, las realimentaciones son directas y


el modelo matemático es complicado y restrictivo (solo cambia una entrada cada vez).
Síncronos: el circuito solo evoluciona al cambiar una señal específica de reloj.
Los sistemas asincronos actuan inmediatamente al aplicarles la señal al dispositivo.
Los sistemas sincronos, la señal, va siempre sincronizada con una señal de reloj. Hasta
que el reloj no genere un flanco de subida (normalmente) el dispositivo no hara caso a
la señal de entrada.
2- Circuitos secuenciales: Poseen uno o más caminos de realimentación, es decir, una o
más señales internas o de salida se vuelven a introducir como señales de entrada,
garantizando la dependencia de la operación con la secuencia anterior.

Existe una dependencia explícita del tiempo, la cual se produce en los lazos de
realimentación, donde es necesario distinguir entre las salidas y las entradas
realimentadas. Esa distinción se traduce en un retraso de ambas señales, el cual puede
producirse mediante dos elementos.
a) Elementos de retraso: Es fijo e independiente de cualquier señal, ya sean explícitos
o implícitos debido al retraso de la lógica combinacional.
b) Elementos de memoria: Son dispositivos que almacenan el valor de la entrada en un
instante determinado, por una señal externa, y lo mantiene hasta que dicha señal
ordene el almacenamiento de un nuevo valor.

La diferencia de comportamiento entre estos dos elementos radica en que la salida del
elemento de retraso es una copia de la señal de entrada, mientras que el elemento de
memoria copia determinados instantes de la entrada y no la señal completa, el resto
del tiempo la salida no cambia de valor.

Si se consideran las señales de estado a la salida de los elementos de memoria, o lo


que es lo mismo, a la entrada del bloque combinacional, se denominan señales de
estado presente, ya que indican el estado en el que se encuentra el sistema para
realizar una operación.
Si se consideran las señales de estado a la entrada de los elementos de memoria, o lo
que es lo mismo, a la salida del bloque combinacional, se denominan señales de
próximo estado, ya que indican el estado al que llegará después de que el bloque
combinacional haya realizado la operación.
Circuitos combinacionales: La salida sólo depende de la entrada.
Los codificadores sin prioridad suponen que sólo una entrada puede estar activa.
Si se activan varias entradas a la vez, la salida puede ser errónea.
Si el codificador tiene prioridad, al activarse varias entradas a la vez, se le da
prioridad a una de ellas.
Los circuitos aritméticos emplean el sistema binario.
Los decodificadores permiten implementar ecuaciones correspondientes al
funcionamiento de una función lógica.
Un demultiplexor operando con un multiplexor, puede simplificar la transmisión de
datos.

De la misma manera, los circuitos combinacionales poseen una serie de características


técnicas, como lo son:

FAN-IN: Número máximo de puertas del mismo tipo que la dada, que pueden
conectarse a esta, de manera que el circuito funcione correctamente.
FAN-OUT: Número máximo de puertas del mismo tipo que la dada, que pueden
conectarse como carga de esta, de manera que el circuito funcione correctamente.
Coste: El diseño puede ser más sencillo si se emplean más
circuitos, pero el coste sería mayor y viceversa.
Respuesta temporal: La respuesta de una puerta lógica no es instantánea, requiere
un cierto tiempo para que un cambio a la entrada produzca un posible cambio a la
salida.
Este retraso puede ocasionar carreras o azares e incluso la no aparición de respuesta
para una posible entrada.

3- Flip-Flop R-S (Set-Reset)

Utiliza dos compuertas NOR. S y R son las entradas, mientras que Q y Q’ son las
salidas (Q es generalmente la salida que se busca manipular.)
La conexión cruzada de la salida de cada compuerta a la entrada de la otra construye el
lazo de reglamentación  imprescindible en todo dispositivo de memoria.

Para saber el funcionamiento de un Flip flop se utilizan las  Tablas de verdad.

Si no se activa ninguna de las entradas, el flip flop permanece en el ultimo estado en el


cual se encontraba.
Flip-Flop T

El Flip-flop T cambia de estado en cada pulso de T. El pulso es un ciclo completo de


cero a 1. Con el flip flop T podemos complementar  una entrada de reloj al flip flop rs.

La siguiente tabla muestra el comportamiento del FF T y del FF S-R en cada pulso de t.

Flip-Flop J-K (Jump-Keep)

El flip-flop J-K es una mezcla entre el flip-flop S-R y el flip-flop T. A diferencia del flip
flop RS, en el caso de activarse ambas entradas a la vez, la salida adquiere el estado
contrario al que tenía.
La siguiente tabla muestra el comportamiento del flip flop JK

Flip-Flop D (Delay)

El flip-flop D es uno de los FF más sencillos. Su función es dejar pasar lo que entra por
D, a la salida Q, después de un pulso del reloj.

La siguiente tabla muestra el comportamiento del flip flop D

Para que sirven las entradas Clear y Preset?

Cuando se están utilizando flip-flops en la construcción de circuitos, es necesario poder


controlar el momento en el que un FF empieza a funcionar y el valor con el que inicia su
secuencia. Para esto, los flip-flops cuentan con dos entradas que le permiten al
diseñador seleccionar los valores iniciales del FF y el momento en el que empieza a
funcionar.
Estas entradas son llamadas en Inglés: Clear y Preset.

 Clear – inicializa Q en cero sin importar entradas o reloj


 Preset – inicializa Q en 1 sin importar entradas o reloj

Para ambas entradas, si reciben el valor de:

 0 : inicializan el FF en el valor correspondiente.


 1: el flip-flop opera normalmente

La siguiente figura muestra un FF J-K con entradas de inicialización. Note que tanto la
entrada Clear, como la entrada Preset, tienen un círculo. Esto significa que la entrada
funciona con un 0.

3- Un diagrama de tiempos o cronograma es una gráfica de formas de ondas digitales que


muestra la relación temporal entre varias señales, y cómo varía cada señal en relación
con las demás.

5- Pero los flip-flops tienen otro conjunto de entradas llamado conjunto asíncrono, y
tambien entradas de limpieza, que cambian el estado del flip-flop, independientemente de
lo que ocurra en las entradas síncronas. Estas entradas asíncronas, fuerzan al flip-flop a
tomar un determinado estado (set o reset-clear).

6-

El "flip-flop" tipo D, sigue a la entrada, haciendo transiciones que


coinciden con las de la entrada. El término "D", significa dato; este
"flip-flop" almacena el valor que está en la línea de datos. Se puede
considerar como una celda básica de memoria. Un "flip-flop" D, se
puede hacer con un "flip-flop" "set/reset", uniendo la salida set
(estado alto) con la salida reset (estado bajo), a través de un
inversor. El resultado se puede sincronizar.

7- Se dice divisor de frecuencia un circuito que recibe en


entrada una señal de una frecuencia determinada f y da una
señal de salida de frecuencia f/n donde n es un número
entero. La necesidad de un divisor de frecuencia, ya que tiene
tanto con una y la misma señal de clock debe conducir
circuitos en diferentes frecuencias, y porque es más fácil para
estabilizar por medio de un circuito en el cuarzo un circuito
dado a una tasa superior y luego obtener una frecuencia más
baja, que también se estabilizado, aunque no es un cristal de
cuarzo a la frecuencia deseada.

Conectando en cascada múltiples flip flops de tipo T se puede


obtener divisores de frecuencia múltiplos de 2 de acuerdo con
la siguiente fórmula:

fn = f / 2 n

donde n es un número entero. Deseando obtener un divisor


de 4, podemos utilizar el siguiente esquema:

Deseando obtener un divisor de 8 podemos utilizar el


siguiente esquema:

Deseando, en su lugar,  obtener un divisor que no sea el


poder de 2, debe dejar de contar los impulsos, cuando se ha
alcanzado el número deseado. Como vemos en la siguiente
tabla:
CLOCK Q2 Q1 Q0
0 0 0 0
1 0 0 1
2 0 1 0
3 0 1 1
4 1 0 0
5 1 0 1
6 1 1 0
7 1 1 1
8 0 0 0
9 0 0 1

si paro el divisor de frecuencia, cuando llegué a la


combinación 101 igual al número 5, me sale un divisor de a
5. Para realizar el circuito debe poner uno o más puertas
AND  a cuyas entradas son para ser aplicado las salidas de
los  correspondientes flip flop de tipo T, como en el siguiente
esquema:

Para que la combinación 101 sea verdad es necesario que Q0


= 1; Q1 = 0; Q2 = 1; la puerta AND da en la salida 1, sólo
cuando la combinación requerida es cierta, ponendo a cero
todos los tres flip-flops de tipo T.

Queriendo obtener un divisor de 10 puede ser conectado en


cascada a un divisor para un divisor de 5 un divisor de
2obtenendo 10 = 5 x 2. Cómo en el siguiente diagrama:
 

8-

Es versátil y es uno de los tipos de flip-flop más usados. Su funcionamiento es idéntico


al del flip-flop S-R en las condiciones SET, RESET y de permanencia de estado. La
diferencia está en que el flip-flop J-K no tiene condiciones no válidas como ocurre en el
S-R.

Este dispositivo de almacenamiento es temporal que se encuentra dos estados (alto y


bajo), cuyas entradas principales, J y K, a las que debe el nombre, permiten al ser
activadas:

 J: El grabado (set en inglés), puesta a 1 ó nivel alto de la salida.


 K: El borrado (reset en inglés), puesta a 0 ó nivel bajo de la salida.

Si no se activa ninguna de las entradas, el biestable permanece en el estado que poseía


tras la última operación de borrado o grabado. A diferencia del biestable RS, en el caso
de activarse ambas entradas a la vez, la salida adquirirá el estado contrario al que tenía.

Su tabla de verdad es la siguiente:

J K Q
0 0 q
0 1 0
1 0 1

1 1
 
Anuncios
INFORMA SOBRE ESTE ANUNCIO
Desarrollo

Para hacer el contador de 0 a 9, se ocuparon 4 flip flops, y se hizo la siguiente tabla de


transicion

Dada la tabla de transicion se procedio a hacer su tabla de verdad, en la cual esta el


estado actual que se encuentra Qn, y el estado siguiente Qn+1. Asi tambien, el valor de
Jn y Kn en su transicion de cada uno.
Al sacar cada una de las tablas de verdad se simplificaron mediante mapas de Karnaugh
cada uno de Jn y Kn, como se muestra en las siguientes fotos.
Teniendo las siguientes ecuaciones se procede a armar el circuito con los flip-flops JK
necesarios, los cuales son 4, por cada Q. y el circuito resultante es el siguiente.

También podría gustarte