Está en la página 1de 15

OBJETIVO

El alumno utilizará el software Quartus II para programar una tarjeta de desarrollo PLD
FPGA.

MARCO TEÓRICO

En la actualidad el diseño de los sistemas digitales se simplifica gracias a los avances en la


Lógica Programable y del software para implementarla que es muy amigable y está
disponible en un ambiente de ventanas; además se cuenta con ayudas visuales en caso de
algún error. Por su parte, los dispositivos electrónicos digitales son económicos y
programables a la medida. Para el diseño digital se cuenta con herramientas de captura
esquemática (schematic) y el lenguaje de descripción de hardware (HDL) Verilog o VHDL.
Una herramienta de captura esquemática y de lenguaje HDL es Quartus II. El software
Quartus II permite la implementación física del diseño en un dispositivo lógico programable
(PLD), en particular dispositivos como los Cyclone, por su versatilidad y capacidad,
permiten implementar una gran variedad de diseños y aplicaciones de la lógica
combinacional y secuencial. La lógica digital programable permite el diseño e
implementación rápida de sistemas digitales tan sencillos como compuertas lógicas hasta
complejos procesadores. Una de sus principales ventajas diferenciales frente a los clásicos
microcontroladores (de lógica secuencial) es su capacidad de implementación de lógica
paralela verdadera, que es especialmente útil en procesamiento de imágenes/vídeo,
inteligencia artificial y criptografía.

MATERIAL Y HERRAMIENTAS A UTILIZAR EQUIPO A EMPLEAR


CANTIDAD DESCRIPCIÓN CANTIDAD DESCRIPCIÓN

1 FPGA Cyclone IV Serie 1 USB Blaster


EP4CE6E22C8N ó
Cyclone II Serie
EP2C5T144C8
1 Quartus II.
1 PC con Windows.
1 Manual de
técnico
especificaciones.

Practica 5
- Página
1
DESARROLLO

1. Desarrolle un proyecto Quartus II para que un PFGA funcione como una AND y NAND
de tres entradas. Utilice LEDs, resistores y DIP switch en el diseño.

2. Para abrir Quartus II, pulse sobre su ícono. Aparece entonces la pantalla mostrada en la
siguiente figura. Si aparecen otras pantallas auxiliares, puede cerrarlas.

Practica 5
- Página
2
3. Pulse File-Open Project para abrir un proyecto existente, o bien File-New Project Wizard
para generar un nuevo proyecto. En este caso, se abre la ventana de la siguiente figura.

4. Pulse Next, y aparece la ventana de la siguiente figura. Todo proyecto requiere un


directorio (carpeta en Windows), así que presione el ícono de exploración (…) para crear
su ruta y nombre.

Practica 5
- Página
3
5. En este caso es C:/altera/13.0sp1/projects/com_bas. El nombre com_bas aparecerá
también en nombre del proyecto y en la entidad top.

6. Pulse ahora Next, y aparece la ventana de la figura, puede insertar archivos de otros
proyectos en el directorio del proyecto presente. En este caso no añadimos ninguno.

Practica 5
- Página
4
7. Pulsamos Next y aparece la ventana de la siguiente figura. Se debe indicar el dispositivo
en el cual se implementará el diseño ya sea el FPGA Cyclone IV Serie EP4CE6E22C8 ó
Cyclone II Serie EP2C5T144C8.

Practica 5
- Página
5
8. Pulsamos ahora NEXT dos veces para finalizar con el resumen del proyecto, como se
muestra en las siguientes figuras.

Practica 5
- Página
6
9. El siguiente paso es crear un archivo de diseño. Pulsamos File-New, para abrir la siguiente
ventana.

10. Escogemos diseñar por medio de VHDL; pulsamos VHDL, y se abre la siguiente ventana
de trabajo. En donde se captura el código del lenguaje descriptivo de hardware.

Practica 5
- Página
7
11. Capture el siguiente código fuente.

12. Una vez que concluya y no existan errores, pegue aquí la captura de pantalla de la ventana
Flow Summary.

Practica 5
- Página
8
13. Pegue aquí la captura de pantalla de la ventana RTL Viewer.

Practica 5
- Página
9
14. Desarrolle un proyecto Quartus II para que un PFGA funcione como una OR y NOR de
tres entradas. Utilice LEDs, resistores y DIP switch en el diseño.

15. Una vez que concluya y no existan errores, pegue aquí la captura de pantalla de la ventana
Flow Summary.

16. Pegue aquí la captura de pantalla de la ventana RTL Viewer.

Practica 5
- Página

10
17. Pegue aquí el código fuente del diseño.

-------------------------------------------------------------
-- Codigo VHDL para que un FPGAa Cyclone IV serie EP4CE6E22CB
-- funcione como un circuito que implementa la compuerta
-- basica, AND y NAND de tres entradas.
-- Las entradas de la compuerta son A, B Y C.
-------------------------------------------------------------
-- Notes:
-- En el pin planner debera asignarse los pines de las
-- entradas y salidas
-------------------------------------------------------------

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity pr5_1 is

Practica 5
- Página

11
port(
A, B, C : in std_logic;
LED1 : out std_logic;
LED4 : out std_logic);
end;

architecture BEHAVIOR of pr5_1 is


SIGNAL FUN_OR , FUN_NOR : std_logic;
begin

FUN_OR <= A OR B OR C;
LED1 <= NOT (FUN_OR);

FUN_NOR <= NOT ( A OR B oR C);


LED4 <= NOT (FUN_NOR);

end BEHAVIOR;
18. Desarrolle un proyecto Quartus II para que un PFGA funcione como una XOR y XNOR
de tres entradas. Utilice LEDs, resistores y DIP switch en el diseño.

19. Una vez que concluya y no existan errores, pegue aquí la captura de pantalla de la ventana
Flow Summary.

Practica 5
- Página

12
20. Pegue aquí la captura de pantalla de la ventana RTL Viewer.

Practica 5
- Página

13
21. Pegue aquí el código fuente del diseño.
-------------------------------------------------------------
-- Codigo VHDL para que un FPGAa Cyclone IV serie EP4CE6E22CB
-- funcione como un circuito que implementa la compuerta
-- basica, AND y NAND de tres entradas.
-- Las entradas de la compuerta son A, B Y C.
-------------------------------------------------------------
-- Notes:
-- En el pin planner debera asignarse los pines de las
-- entradas y salidas
-------------------------------------------------------------

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity PR5_2 is

Practica 5
- Página

14
port(
A, B, C : in std_logic;
LED1 : out std_logic;
LED4 : out std_logic);
end;

architecture BEHAVIOR of PR5_2 is


SIGNAL FUN_XOR , FUN_XNOR : std_logic;
begin

FUN_XOR <= A XOR B XOR C;


LED1 <= NOT (FUN_XOR);

FUN_XNOR <= NOT ( A XOR B XOR C);


LED4 <= NOT (FUN_XNOR);

end BEHAVIOR;

Practica 5
- Página

15

También podría gustarte