Documentos de Académico
Documentos de Profesional
Documentos de Cultura
OBJETIVO
El alumno utilizará el software Quartus II para programar una tarjeta de desarrollo PLD
FPGA con diseños de circuitos lógicos combinatorios.
MARCO TEÓRICO
P17 – Pág.1
MATERIAL Y HERRAMIENTAS A UTILIZAR EQUIPO A EMPLEAR
CANTIDAD DESCRIPCIÓN CANTIDAD DESCRIPCIÓN
DESARROLLO
1. Diseñe con VHDL que un FPGA Cyclone IV Serie EP4CE6E22C8N active las
salidas LED1 y LED0 respectivamente a estado alto cuando las combinaciones de
P17 – Pág.2
entrada de un numero de cuatro bits sean divisibles entre tres y cuando sean
divisibles entre dos.
a) Una vez que concluya y no existan errores, pegue aquí la captura de pantalla de
la ventana Flow Summary.
------------------------------------------------------------
-- codigo VDHL para FPGA Cyclone IV Serie EP4CE6E22C8
-- que funcionara como detector de un numero de cuatro bits,
P17 – Pág.3
-- las salidas LED1 y LED0 se activan respectivamente, --
cuando las combinaciones de entrada sean divisibles entre --
tres y cuando sean divisibles entre dos.
------------------------------------------------------------
entity pr6 is
port ( NUM : in std_logic_vector (3 downto 0);
SAL : out std_logic_vector (1 downto 0)); end
pr6;
a) Una vez que concluya y no existan errores, pegue aquí la captura de pantalla de
la ventana Flow Summary.
P17 – Pág.4
P17 – Pág.5
b) Pegue aquí la captura de pantalla del Simulation Waveform Editor donde se
muestre el resultado de la simulación.
P17 – Pág.6
c) Pegue aquí el código fuente del diseño.
-- P R OB L E M A 2
------------------------------------------------------------
-- codigo VDHL para FPGA Cyclone IV Serie EP4CE6E22C8
-- EPCS16N memoria de configuracion no volatil
-- sumador de dos numeros A y B de dos bits
------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use
IEEE.STD_LOGIC_UNSIGNED.ALL;
entity p66 is
port(
A : in std_logic_vector(1 downto 0);
B : in std_logic_vector(1 downto 0);
Ci: in std_logic;
P17 – Pág.7
S : out std_logic_vector(1 downto 0);
Cout: out std_logic);
end p66 ;
end abstracta;
a) Una vez que concluya y no existan errores, pegue aquí la captura de pantalla de
la ventana Flow Summary.
P17 – Pág.8
b) Pegue aquí la captura de pantalla del Simulation Waveform Editor donde se
muestre el resultado de la simulación.
entity p63 is
port(
A: in std_logic;
B: in std_logic;
L: in std_logic;
Y0: out std_logic;
Y1: out std_logic;
Y2: out std_logic;
Y3: out std_logic );
end;
P17 – Pág.9
begin
if (B = '0' and A = '0') then
Y0 <= L;
Y1 <= not L;
Y2 <= not L;
Y3 <= not L;
end if;
end process;
end Funcional;
a) Una vez que concluya y no existan errores, pegue aquí la captura de pantalla de
la ventana Flow Summary.
P17 – Pág.10
b) Pegue aquí la captura de pantalla del Simulation Waveform Editor donde se
muestre el resultado de la simulación.
P17 – Pág.11
entity p64 is
port (
S1,S0 : in std_logic;
L3,L2,L1,L0 : in std_logic;
Y : out std_logic
);
end;
a) Una vez que concluya y no existan errores, pegue aquí la captura de pantalla de
la ventana Flow Summary.
P17 – Pág.12
b) Pegue aquí la captura de pantalla del Simulation Waveform Editor donde se
muestre el resultado de la simulación.
P17 – Pág.13
entity p65 is
port (
NUM2, NUM1, NUM0: in std_logic;
BPNUM: in std_logic;
ERROR: out std_logic );
end;
end TABLA;
a) Una vez que concluya y no existan errores, pegue aquí la captura de pantalla de
la ventana Flow Summary.
P17 – Pág.14
b) Pegue aquí la captura de pantalla del Simulation Waveform Editor donde se
muestre el resultado de la simulación.
P17 – Pág.15
library ieee; use
ieee.std_logic_1164.all; use
ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity p66 is
port ( DIG1: out std_logic;
BCD : in std_logic_vector (3 downto 0);
CODIGO : out std_logic_vector (7 downto 0)); end;
----------------------------------------------------------
-- codigo son 8 terminales para controlar el display, el
-- el display es de anodo comun
----------------------------------------------------------
end TRUTH_TABLE;
P17 – Pág.16
P17 – Pág.17