Está en la página 1de 7

Para la implementación del circuito, partí del diseño del sensor de distancia

dispuesto en clase, y agregue el proceso necesario para el uso del buzzer al código
Vhdl. La decisión partió del resultado que el código original no funcionaba en la
placa, seguramente por un mal armado del circuito. Por eso mismo, detallare lo
realizado con el objetivo de lograr la corrección necesaria.

Referido al código

Declaro “BUZZ” como una salida lógica en la sección de los puertos; y la


señal “buzzer” de forma standard logic en la arquitectura del Sensor:

Estas últimas, tendrán inferencia en el proceso que será sensible a la señal


“microseconds”; además, en este se declara una variable de local “count2” como un
entero entre 0 y 5 (distancias a las cual responde el buzzer).

Utilizando la parte del código que le otorga el valor a las salidas de los
“LEDS” (useg_to_decimeter (3downto0)); realizo una comparación cada vez que se
presente un flanco ascendente para “microseconds”, para saber su estado y así
generar las frecuencias para cada uno de los valores.

Por ej.; si useg_to_decimeter (3downto0) = “1001”, quiere decir que


estamos a 5Dm del objeto, entonces comienza un conteo ascendente para la variable
“count2” hasta que su valor coincida con la medición. De este contador depende la
señal “buzzer”, ya que cuando este sea igual a 0 apagara el sonido, mientras tanto
sonara; dejando pulsos más cortos a menores distancias.
Al final se asociada la señal lógica “buzzer” a la salida “BUZZ”.

En la declaración de los puertos de la placa, tanto el “CLK” como los “LEDS”


están pre-decididos, pero para “TRIG”,”ECHO” y “BUZZ” se optó por comodidad.
Referido al armado:

Bajo la recomendación sobre la precaución del pin de entrada “19 ECHO”, lo


primero fue implementar el divisor resistivo. Una vez medidas las resistencias que
tenía, se optó por la siguiente decisión:

Ideal Real
VEcho∗𝑅1 VEcho∗𝑅1
VPin = VPin =
𝑅1+𝑅2 𝑅1+𝑅2

5v∗1200Ω VEcho∗𝑅1
3.3V = VPin =
(1200 +640)Ω 𝑅1+(𝑅3+𝑅4)

5v∗1174Ω
3.3V ≅ 3.26V 3.3V = (1174 +(319+324))Ω

3.3V ≅ 3.23V

R1 = R2 =

R3 = R4 =
Continuando con el uso del sensor ultrasónico, tenemos las siguientes conexiones:

 Entrada VCC Sensor/Salida 5V (“E”) del módulo Unl2003:

 Entrada In1 del módulo Unl2003/ Salida pin “18 TRIG” de FPGA:
 Entrada Trigger Sensor/Salida “A” del módulo Unl2003:

 Salida Echo Sensor/Entrada pin “19 ECHO” de FPGA:


Para la conexión del buzzer:

 Entrada In2 del módulo Unl2003/ Salida pin “20 BUZZ” de FPGA:

 Entrada Buzzer/Salida “B” del módulo Unl2003:


A modo de conclusión, antes de tomar como base el ejercicio resuelto por el
profesor, los intentos personales por generar un código nuevo fallaron en su
totalidad. Luego el mismo cableado pero con el código original nunca logre que
funcionara, así que sumí el papel de completar la parte del código necesario para la
consigna y tomar medición de todas las entradas y salidas.

A mi criterio, todo está como debe ser excepto las salidas “A” y “B” del
módulo Unl2003, que nunca alcanzan un valor de 5V para poder activar tanto el
“trigger” o el “buzzer” cuando sea necesario. De esta manera, al no activarse los
pulsos necesarios en el “trigger” la recepción del “echo” nunca es lograda, y por
ende no se miden los 5DM para el sonido del “buzzer”.

Los “leds” integrados y asociados a las mediciones, no reflejan el


comportamiento deseado; no se prenden en ningún momento o varían de forma
intermitente apuntando a un objetivo fijo.

También podría gustarte