Está en la página 1de 6

UNIVERSIDAD MILITAR NUEVA GRANADA

Adquisición de señales mediante interfaz gráfica en MATLAB y puertos ADC de FPGA-


BASYS3

Identificación:
GL-AA-F-1
Guías de Prácticas de Número de
Revisión No.:
Páginas:
Laboratorio 2
4
Fecha Emisión:
2018/01/31
Laboratorio de:

DIGITALES

Titulo de la Práctica de Laboratorio:

Adquisición de señales mediante interfaz gráfica en MATLAB y puertos ADC


de FPGA- BASYS3

Elaborado por: Revisado por: Aprobado por:

Héctor Guillermo Parra Rubén Darío Hernández


Peñuela Beleño

Docente Facultad de Director de ingeniería


Ingeniería Biomédica Biomédica

El uso no autorizado de su contenido, así como reproducción total o parcial por cualquier persona o entidad, estará en contra de
los derechos de autor

Página 1 de 6
UNIVERSIDAD MILITAR NUEVA GRANADA

Adquisición de señales mediante interfaz gráfica en MATLAB y puertos ADC de FPGA-


BASYS3

Control de Cambios

Fecha de Elaboración /
Descripción del Cambio Justificación del Cambio
Actualización

El uso no autorizado de su contenido, así como reproducción total o parcial por cualquier persona o entidad, estará en contra de
los derechos de autor

Página 2 de 6
UNIVERSIDAD MILITAR NUEVA GRANADA

Adquisición de señales mediante interfaz gráfica en MATLAB y puertos ADC de FPGA-


BASYS3

1. FACULTAD O UNIDAD ACADÉMICA: INGENIERÍA CAMPUS N.G.

2. PROGRAMA: INGENIERÍA BIOMÉDICA

3. ASIGNATURA: DIGITALES

4. SEMESTRE: CUARTO

 Describir en VHDL compilar y simular con MODELSIM 10.4.

 Sintetizar la descripción en VHDL en la tarjeta basys3 mediante el uso de


VIVADO WEB PACK 2019.1

 Desarrollar un informe de laboratorio en formato IEEE, donde se anexen las


descripciones en VHDL, figuras de diagrama de bloques o componentes del
circuito y simulación (Wave), donde se explique las señales obtenidas.

 Identificar los pasos de desarrollo de una sintetización de circuitos descritos


con procesos en VHDL y el uso de herramientas de configuración como
VIVADO WEB PACK 2019.1 y carga de la descripción en tarjeta BASYS3.

5. MATERIALES, REACTIVOS, INSTRUMENTOS, SOFTWARE, HARDWARE O


EQUIPOS DEL LABORATORIO:

DESCRIPCIÓN (Material, reactivo,


UNIDAD DE
instrumento, software, hardware, CANTIDAD
MEDIDA
equipo)
PC con WIN 7 con tarjeta
1
aceleradora grafica
ModelSim
VIVADO 2019.1
BASYS3 1
ProtoBoard 1
MATLAB
Cable USB 1

Nota: Se recomienda realizar el informe de laboratorio siguiendo el formato


IEEE en Word disponible en el aula virtual y realizar el cableado de los
componentes con cables para Proto-board hechos por usted mismo.
El uso no autorizado de su contenido, así como reproducción total o parcial por cualquier persona o entidad, estará en contra de
los derechos de autor

Página 3 de 6
UNIVERSIDAD MILITAR NUEVA GRANADA

Adquisición de señales mediante interfaz gráfica en MATLAB y puertos ADC de FPGA-


BASYS3

6. PRECAUCIONES CON LOS MATERIALES, REACTIVOS, INSTRUMENTOS


Y EQUIPOS A UTILIZAR:

No exceder los valores máximos permitidos de voltajes y corrientes indicados


para los elementos utilizados. Consultar en los manuales correspondientes. No
sobrepasar el máximo de potencia.
No alimentar la FPGA con cargadores diferentes a los descritos y distribuidos
por el fabricante.

7. PROCEDIMIENTO, MÉTODO O ACTIVIDADES:

Con base en el circuito en VHDL en la Práctica 7, adicione una interfaz mediante


el guide de MATLAB, usando el protocolo de comunicación asincrónico RS-232 o
UART, para que pueda enviar los datos de la señal adquirida por el ADC de la
FPGA por puerto serial a un computador y visualizarla en tiempo real mediante
una gráfica en MATLAB.

https://www.instructables.com/id/UART-Communication-on-Basys-3-FPGA-Dev-
Board-Power/

Fig1. Diagrama de interconexión de componentes.

El uso no autorizado de su contenido, así como reproducción total o parcial por cualquier persona o entidad, estará en contra de
los derechos de autor

Página 4 de 6
UNIVERSIDAD MILITAR NUEVA GRANADA

Adquisición de señales mediante interfaz gráfica en MATLAB y puertos ADC de FPGA-


BASYS3
VOLTAJE DE
EN DISPLAY
MOTOR ACCION
7 SEGMENTOS
POTENCIOMETRO

1 VDC REDUCTOR GIRAR DERECHA 1.0

1.5 VDC REDUCTOR GIRAR IZQUIERDA 1.5

GIRAR DERECHA
2V DC SERVO 2.0
180°

GIRAR IZQUIERDA
2.5 VDC SERVO 2.5

3 VDC PASO A PASO GIRAR DERECHA 3.0

3.3 VDC PASO A PASO GIRAR IZQUIERDA 3.3

Tabla 1. Voltaje de Activación.

Adicione botones en la aplicación para lograr el movimiento descrito en la tabla 1


al ser accionados o el voltaje en el teclado numérico.

https://circuitdigest.com/microcontroller-projects/serial-communication-
between-matlab-and-arduino

Fig2. Interfaz
sugerida de
desarrollo en
GUIDE de
MATLAB para
comunicación
serial.

8. RESULTADOS
ESPERADOS:

Descripción,
simulación y
sintetización con VIVADO

El uso no autorizado de su contenido, así como reproducción total o parcial por cualquier persona o entidad, estará en contra de
los derechos de autor

Página 5 de 6
UNIVERSIDAD MILITAR NUEVA GRANADA

Adquisición de señales mediante interfaz gráfica en MATLAB y puertos ADC de FPGA-


BASYS3
Obtener los siguientes esquemáticos con capturas de pantalla y complemente con
una breve descripción de cada uno.

VIVADO WEB PACK 2019.1

 Package
 Device
 Schematic
 Xilinx FPGA editor
 Report Utilization

9. CRITERIO DE EVALUACIÓN A LA PRESENTE PRÁCTICA:

 Obtención de esquemáticos y diagramas


 Informe
 Análisis de los resultados.

10. BIBLIOGRAFIA

1. Brown, S., & Vranesic, Z. (2000). Fundamentos de lógica digital con diseño
VHDL (2 ed.). México: McGraw-Hill.

2. Fundamentos de diseño lógico y computadoras". M. Morris Mano y Charles


R: Kime. Ed. PrenticeHall. (1998).

3. Floyd, T. L. (2006). Fundamentos de sistemas digitales (9 ed.). Madrid:


Prentice Hall.

El uso no autorizado de su contenido, así como reproducción total o parcial por cualquier persona o entidad, estará en contra de
los derechos de autor

Página 6 de 6

También podría gustarte