Está en la página 1de 6

Practica 8

Adquisición y visualización de señales de voltaje mediante interfaz


gráfica GUIDE de MATLAB y ADC de FPGA - BASYS3.

RESUMEN: la presente practica tiene como


finalidad Identificar los pasos de desarrollo de Sintetizar Una comunicación asíncrona es aquella en que el
la descripción en VHDL en la tarjeta basys3 mediante el transmisor y el receptor no necesitan coordinarse para
uso de VIVADO WEB PACK 2019 y Configurar la transmitir los datos. Es útil para fuentes que transmiten
comunicación asincrónica mediante RS232 entre interfaz datos ocasionalmente.
GUIDE y FPGA BASYS3
El software de Matlab es un sistema de cómputo numérico
PALABRAS CLAVE: VHDL, señales, códigos, que ofrece un entorno de desarrollo integrado (IDE) con
sinterización, compilación, vivado, tarjeta FPGA un lenguaje de programación propio (lenguaje M). Está
disponible para las plataformas Unix, Windows,
ABSTRACT: The present practice has the aim of macOS y Linux. Es por esto que se puede desarrollar
identifying the development steps of a sintering of circuits interfaces para activar un led o un motor atravez de la
described with processes in VHDL and the use of FPGA y este programa
configuration tools such as VIVADO WEB PACK 2019.1
and loading the description on BASYS3 card to II PROCEDIMIENTO
complement the theoretical part of the digital subject and
to undertake the development of digital circuits.
Con base en el circuito en VHDL en la Práctica 7, adicione
KEY WORDS: VHDL, signals, codes, sintering, una interfaz mediante el guide de MATLAB, usando el
compilation, vivado, FPGA card protocolo de comunicación asincrónico RS-
232 o UART, para que pueda enviar los datos de la señal
adquirida por el ADC de la FPGA por puerto serial a un
computador y visualizarla en tiempo real mediante una
I INTRODUCCIÓN gráfica en MATLAB.

La materia de digitales o electrónica digital es


una de las materias de gran importancia para la
ingeniería biomédica, pues esta comprende los
sistemas lógicos de máquinas digitales y máquinas
de estado. Es decir, toda máquina que existen se
basa por sistemas lógicos de numeración binaria
estos sistemas lógicos están comprendidos por
estados de presente a futuro estos estados están
codificados en VHDL una lengua que la IEEE
determino para describir los circuitos digitales y
electrónicos, con esta lengua se puede describir
todo tipo de circuito digital que se quiera codificar en
una tarjeta de procesamiento; estas tarjetas son una
matriz de puertas lógicas programable en campo
que contiene bloques de lógica cuya interconexión
y funcionalidad puede ser configurada en el
momento, mediante un lenguaje de descripción
especializado. La lógica programable puede
reproducir desde funciones tan sencillas como las
llevadas a cabo por una compuerta lógica o un
sistema combinacional hasta complejos sistemas Figura 1 interfaz sugerida para el desarrollo
en un chip.

1
Practica 8

La figura 2 muestra la guide para realizar el desarrollo de


la interfaz en esta se denotaron los botones que tendrán
III DESARROLLO DE LA PRACTICA ciertas variables para el control y el desarrollo de la
simulación. Cada uno de estos botones crean una función
Al desarrollar la practica 7 se demostró que desde un en el código que se tendrá que modificar para darle la
programa de simulación inteligente se puede hacer un programación de lo que hará este botón seleccionado
sistema de control para los diferentes motores
electrónicos que existen en DC. Al ejecutar el código se
visualizaron cuales eran los cambios de estados que
hacia un motor hasta cierto voltaje para pasar al otro.

Figura 3componente del guide

La tabla 1 muestra el voltaje de activación de cada motor,


pues esta también fue utilizada en la anterior parte para La figura 3 muestra los diferentes componentes que tiene
saber a qué voltaje se activará el motor y cuál iba ser su el guide para la interfaz a diseñar se tomaron los
porcentaje con respecto a un sistema numero de bytes. componentes: static tex, edit text, toggle botón, axes.
Cada uno de estos componentes tienen diferentes
En esta práctica el objetivo es el de diseñar un interfaz en funciones con las que se realizara el sistema de control
el programa Matlab para una comunicación serial de la de los motores
FPGA y el programa, es decir que desde el programa
poder controlar los motores que sean puestos en la FPGA Después de esto se acomodaron los botones de una
y la protoboar. manera que presentable y entendible de cómo se
generaría la activación de cada motor
Por las condiciones actuales no se puede terminar todo el
proceso de probar la interfaz diseñada ya que no se
cuenta con una FPGA, por eso el desarrollo de la practica
es mostrar como se crea la interfaz para controlar a los
motores desde el programa Matlab

Para iniciar se crea un guide el cual es el sistema en


donde se hacer la interfaz en Matlab este sistema será
donde se va a ejecutar todo lo que realizaremos para el
sistema de control de motores.

Figura 4 diseño del guide para la interfaz


(elaboración propia)

la figura 4 muestra como se colocaron los diferentes


componentes expuestos anteriormente para así darle el
proceso que tomara la interfaz.

a continuación, se explicarán las partes que tomara la


Figura 2 guide de Matlab (elaboración propia) interfaz para el desarrollo del sistema de control de
activación de motores

2
Practica 8

La figura 7 muestra en donde se visualizará alguno de


los motores que están expuestos en la tabla al comienzo
del informe, es decir al poner cierto voltaje se visualizara
el motor reductor, motor paso a paso o motor servo.

Una vez explicadas todas las partes se guardará el guide


y automáticamente se creara el condigo con las funciones
de estos motores, siguiente a esto se toca configurar cada
función para así dar las obligaciones a cada componente
del guide.

Figura 5 primera parte de la interfaz

la figura 5 muestra la primera parte esta comprendía por


un toggle botón y un static text. el toggle botón establera
el botón del motor que se quiere encender o apagar con
respecto al voltaje que será puesto por el usuario., el static
text dará el funcionamiento de mostrar en que estado está
el motor, si está en el estado encendido su color cambiara
a verde y si esta apagado su color cambiara a rojo.
También mostrara los voltajes a los que trabaja este
motor.

Figura 6 segunda parte de la interfaz


(elaboración propia)

La figura 6 muestra la segunda parte de la interfaz que


esta comprendida por un toggle botón y un edit text. El
toggle botón es aquel que muestra el mensaje (oprima
para observar que motor tiene ese voltaje) y el recuadro
ingrese V, es aquel botón en donde el usuario ingresara
el valor del voltaje según la tabla expuesta al comienzo.

Figura 7 parte 3 de la interfaz (elaboración propia)

3
Practica 8

activo y el motor reductor y el motor paso a paso están


desactivado, también la imagen cambiara y mostrara el
diseño de un motor servo.

Para completar el proceso del programa se pondrá un


valor de 3 o 3.3 para activar el motor paso a paso, al hacer
esto el motor servo cambiara a color rojo indicando que
se desactivo e cambiando a color verde el motor paso a
paso indicando que este está activo, también cambiara la
imagen mostrando un como es un motor paso a paso.

Al poner un voltaje diferente a los escritos todos los


botones de cada motor se pondrán en rojo esto debido a
que supero el voltaje de 3.3 que es el voltaje máximo que
recibe el voltaje cc.

Figura 8 código en Matlab para el control

La figura 8 muestra el código descrito en Matlab para el


funcionamiento de la interfaz esta se pusieron funciones
secuenciales de if y else para la parte de los botones que
representan a cada motor, se definieron las variables y se
hizo programación, también se utilizó la función Switch
para la sentencia de teclado de usuario.

IV RESULTADOS Figura 10voltaje de activacion del motor reductor

Al completar la programación del Código termina la parte


del desarrollo y se corre la compilación del programa
automáticamente aparece el guide, pero sin la cuadricula
y sin la tabla de los componentes, esto pasa porque ya se
esta compilando al interfaz y se dispone a verificar si
funciona

Figura 11activacion del motor reductor

Como se puede observar en la figura 10 al colocar el valor


de 1 se activa el motor reductor y los demás permanecen
en estado apagado, también se muestra la imagen del
Figura 9 interfaz en Matlab motor reductor

La figura 9 muestra la interfaz compilada y lista para


empezar a ejecutar. Esta interfaz funcionara de la
siguiente manera.

Al ingresar un valor de voltaje de 1 o 1.5 se encenderá de


color verde el botón correspondiente al motor reductor a
si mismo aparece una imagen de como es este motor, al
hacer un clic en el botón motor reductor mostrara el voltaje
con el que se actica este motor. Los demás motores
aparecerán en estado rojo es decir apagados.

Al cambiar el valor puesto por un valor de voltaje de 2 o


2.5 según la tabla se encenderá de color verde el botón
designado como motor servo y el motor reductor cambiara
a rojo esto quiere decir que el motor servo esta

4
Practica 8

Figura 12 Activacion del motor servo

La figura 11 muestra el motor servo activado este se Figura 15 activacion del motor paso a paso
denota porque al ponerle el valor de 2.5 cambio a color
verde y el motor reductor cambio a color rojo, esto indica La figura 15 muestra como es la activacion del motor paso
que el motor servo esta activado y el motor reductor y a paso pues este se activa al poner un voltaje de 3 o 3.3
paso a paso están desactivados. al momento de presionar el botón superior, el botón que
indica el motor paso a paso cambia a un estado verde
entendiendo que esta activado y los demás cambian a
estado rojo entendiendo que están desactivados

Figura 16 ampliacion del funciomiento

La figura 16 muestra una ampliación de cómo se es el


estado de los motores al poner un voltaje de 3 voltios o
3.3 v

Figura 13 activacion motor servo


(elaboración propia)
Figura 17 voltaje de 5v para activacion
La figura 12 muestra mas ampliamente como es el
funcionamiento del programa pues el motor servo
esta activado y muestra el voltaje de activacion

Figura 18 desactivación de los motores


Figura 14 voltaje de activacion del motor paso a paso

5
Practica 8

VI REFERENCIAS
La figura 16 muestra como los tres motores
permanecen en estado apagado debido a que se - Guía de laboratorio 8 /aula virtual /curso de
ingresó un voltaje mas alto al limite que ellos tienen digitales/ Adquisición y visualización de señales
de voltaje mediante interfaz gráfica GUIDE de
que es de 3.3 voltios, al poner un voltaje de 5v o
MATLAB y ADC de FPGA - BASYS3.
cualquier otro valor superior a 3.3v todos los
- Arduino y matlab tutorial 02 control del led a
motores se desactivaran. través de una interfaz gráfica
https://www.youtube.com/watch?v=2idr_gInDgw
V CONCLUSIONES - Guide de matlab
https://www.youtube.com/watch?v=udIyzonx9-A
Con la practica de laboratorio se concluye que es - Introducción a matlab
importante el manejo de programas de simulación https://docs.google.com/viewer?a=v&pid=sites&
inteligente para así desarrollar sistemas de interfaces srcid=dW5pYm95YWNhLmVkdS5jb3xpbmdqd
para un modelo de comunicación serial entre la FPGA y WxpYW52ZWxhc2NvfGd4OjJlMTlkMjlmOGNm
cualquier programa de programación digital. NmE1NjY

Se concluye que Matlab es un programa amplio para


hacer diferentes tipos de cosas de simulación digital
inteligente, y se basa en un leguaje de programación
parecido al de C++

Por último, se concluye que la comunicación asíncrona es


aquella en que el transmisor y el receptor no necesitan
coordinarse para transmitir los datos es decir que está en
casi toda la tecnología de comunicación del mundo.

También podría gustarte