Está en la página 1de 10

Guía de actividades y rúbrica de evaluación – Tarea 1

Actividad de Presaberes.
Presentado al tutor:

Hector Julian Parra

Entregado por la estudiante:

Jenny Yisela Cardona Sepúlveda

Grupo: 243004_48

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA - UNAD


ESCUELA DE CIENCIAS BÁSICAS TECNOLOGÍA E INGENIERÍA
2021
Medellín
Introducción

La presente Actividad hace referencia a los temas correspondientes de los fundamentos de sistemas

digitales, en el informe visualizamos conceptos básicos y fundamentales como la compuerta lógica y en

que contribuye en el diseño de los circuitos digitales, el lenguaje de HDL descripción de hardware que

hacen posible una explicación formal de un circuito electrónico y conocemos FPGA dispositivo

semiconductor con la capacidad de poder programar una operación, al momento de que el estudiante

tiene claro estos conceptos los cuales se exponen para que logre desarrollar de manera individual

tablas de verdad donde se conoce los comportamientos de una compuerta lógica y todas la

combinaciones que puede tener los circuitos . El estudiante identifica de manera precisa las diferentes

compuertas lógicas el cuales le ayudara a obtener mejor desarrollo de las técnicas de la electrónica

digital.

En el de desarrollo de la actividad el estudiantes mediante un software online llamado EdaPlayGround

pone en práctica sus conocimiento adquiridos y realiza una simulación de una compuerta AND y su

operación correspondiente, la cual cumple con todos Lineamientos de entrega. Este trabajo le ayudara

a otro estudiante obtener conocimientos previstos de los cuales se puede poner en práctica.
Objetivos:

• Conocer conceptos: compuerta lógica, hardware HDL, FPGA.


• El estudiante debe identificar las diferentes compuertas lógicas.
• El estudiante deber realizar tablas de verdad de una compuerta lógica.
• Aprender a manejar software online EdaPlayGround.
1. Actualización de perfil y presentación:

Actualizar el perfil con foto e información y realizar un aporte en el foro con la evidencia. Realizar
aporte en el foro con información personal como: Nombres, apellidos, correo, Skype, intereses de la
asignatura y semestre actual.

2. Presaberes 2.1 Objetivo:

❖ Describa con sus propias palabras qué es una compuerta lógica y que función cumple en el
diseño de los circuitos digitales

Las compuertas lógicas son circuitos utilizados en la electrónica digital, diseñados para conseguir
resultados booleanos los cuales se adquieren de operaciones binarias, también tiene
características corresponden a leyes aritméticas y otorgan señales de voltaje, trabaja con
estados lógicos tanto en sus entradas como en salidas, adicional se pueden conectar entre sí
para adquirir nuevas funciones.
❖ Qué es descripción de hardware HDL y nombre algunos lenguajes de descripción de
hardware.

Hardware HDL: Lenguajes formales que se utilizan para describir y definir el comportamiento de
un circuito electrónico, como los circuitos lógicos digitales, el leguaje nos permite el análisis y la
simulación del circuito, así poder distinguir las modelos características de los circuitos
electrónicos como el flujo de datos y variación del tiempo

Los HDL utilizan e interpretan estándar basados en texto que reflejan la estructura de los
circuitos electrónicos y aun que se parece a otros leguajes de programación la diferencia
fundamental entre los HDL y otros lenguajes está en que el HDL incluye explícitamente la noción
de tiempo.

Los HDL utilizan expresiones estándar basadas en texto que reflejan la estructura de los circuitos
electrónicos, el interior de la tarjeta se compone de millones de compuertas que permiten
realizar las operaciones aritméticas que dispone el programador. la sintaxis y semántica de los
HDL incluyen notaciones específicas para la concurrencia. Sin embargo, al contrario de lo que
ocurre con la mayoría de los lenguajes de programación, los HDL incluyen también una notación
específica para el tiempo, debido a que este es una característica fundamental en los circuitos
electrónicos reales.

la sintaxis y semántica de los HDL incluyen notaciones específicas para la concurrencia. Sin
embargo, al contrario de lo que ocurre con la mayoría de los lenguajes de programación, los HDL
incluyen también una notación específica para el tiempo, debido a que este es una característica
fundamental en los circuitos electrónicos reales.

Lenguajes de programación de hardware


VHDL
ABEL
ALE
VERILOG

❖ Qué es una FPGA.

Un FPGA (Field Programmable Gate Array) Es una serie de dispositivos basados en


semiconductores a base de matrices de bloques lógicos configurables o CLB,
donde se conectan a través de lo que en el sector se denomina interconexiones programables ya
que tiene capacidad de programar una operación deseada.

En un FPGA existen dentro un altísimo número de elementos básicos de lógica electrónica (como
puertas, biestables, etc.) y por encima de ellas (en la oblea) hay unas matrices de interconexión
que pueden programarse. Su mapeo interno es similar a una matriz construida con elementos
electrónicos interconectados entre si y cuya estructura es propia del fabricante, pueden estar
compuestos por CLB (Configurable Logic Block) o por LAB (Logic Array Block),

b. Objetivo: identificar las diferentes compuertas lógicas y sus respectivas tablas de verdad. El
estudiante debe completar la siguiente tabla. Observar el ejemplo de la primera fila
correspondiente a la compuerta AND. De esta forma debe desarrollar las restantes 6 filas.

Compuerta lógica Esquemático Tabla de verdad Operación lógica

A B Q
AND 0 0 0
0 1 0 Q= A∗B
1 0 0
1 1 1
A B Q
OR 0 0 0
0 1 1
1 0 1 Q= A +B
1 1 1

A Q
1 0
0 1 Q=Q́
NOT

A B Q
0 0 1
NAND 0 1 1 ´
Q= A∗B
1 0 1
1 1 0

A B Q
0 0 1
0 1 0 ´
Q= A +B
NOR 1 0 0
1 1 0

A B Q
0 0 0
0 1 1
XOR 1 0 1 Q= A∗ B́+ Á∗B
1 1 0

A B Q
0 0 1
0 1 0
XNOR 1 0 0 Q= A∗B+ Á∗B́
1 1 1

Registro y prueba del software EdaPlayGround:


El siguiente codigo consta de una pestaña en la parte derecha en la cual se declaran las librerias
necesarias para el diseño de compuertas

Se hace la declaracion de entradas y salidas (A,B y F) de tipos logicos 0 y 1 y por ultimo se hace la
operación correspondiente a una compuerta and en la que se multiplican sus entradas y se le asigna el
valor a la salidad llamada F

En la pestaña de la izquierda corresponde al algoritmo de simulacion, se declara una entidad llamada


simulacion al igual que se crean los mismos puertos antes declarados (entradas y salidas A, B Y F) se
declaran señales a las cuales les llegaran los estimulos generados por los puertos

Asi como tambien se genera una tabla de verdad asignandole los valores de estado logico a las señales
antes declaradas ( A_S, B_S Y F_S)
Las anteriores imágenes nos muestran la carga de librerías igualmente las declaraciones de
puertos tanto de entrada como de salida al igual que la operación correspondiente a una
compuerta AND
Bibliografías

Héctor Hugo Torres Orteg (2018) HeTPro – Herramientas


tecnológicas.Profesionales.Guadalajara, México. HeTPro.recuperado de https://hetpro-
store.com/TUTORIALES/compuertas-logicas/

Guía de referencia rápida de Verilog HDL (estándar Verilog-2001)- http://sutherland-


hdl.com/online_verilog_ref_guide/verilog_2001_ref_guide.pdf

Álvarez Ariza, Jonathan; González Gil, Sergio(2018)Lenguaje de descripción de


hardware.Colombia MED recuperado de https://repository.uniminuto.edu/handle/10656/6250

También podría gustarte