Está en la página 1de 15

UNIVERSIDAD TÉCNICA DE AMBATO

FACULTAD DE INGENIERÍA EN SISTEMAS, ELECTRÓNICA


E INDUSTRIAL
CARRERA DE INGENIERÍA EN ELECTRÓNICA Y
COMUNICACIONES
VLSI

Octavo “A”

Consulta N-1

Tema
FPGA

Integrantes
Almagro Cristian
Medina Leslie
Yumizaca José

Fecha de Envío

7 de Julio de 2020

Fecha de Entrega

14 de Julio de 2020

Docente: Ing. Edgar Patricio Córdova Córdova

ABRIL 2020 - SEPTIEMBRE 2020


AMBATO - ECUADOR
2020
1. TEMA
FPGA

2. OBJETIVOS
2.1 Objetivo General

Investigar acerca de Xilinx FPGA así como los Sistemas Embebidos en base a los FPGA.

2.2 Objetivos Específicos

 Describir los conceptos y las características principales de Xilinx FPGA.


 Determinar las características de la Serie Spartan y sus familias.
 Indagar sobre los Sistemas Embebidos en base FPGA

3. FUNDAMENTACIÓN TEÓRICA
FGPA
Hasta el punto de que empresas como Intel o Xilinx tienen una disputa muy interesante para sectores
clave de la industria
FPGA es el acrónimo de Field Programmable Gate Arrays y no es más que una serie de dispositivos
basados en semiconductores a base de matrices de bloques lógicos configurables o CLB, donde además
se conectan a través de lo que en el sector se denomina como interconexiones programables. [ CITATION
Ing17 \l 3082 ]

Características

 Su principal característica y ventaja es que pueden ser reprogramados para un trabajo específico
o cambiar sus requisitos después de haberse fabricado. El cual ha evolucionado dicha tecnología
hasta convertirla en un nuevo concepto a tener en cuenta en ciertos entornos de trabajo.
 La característica de un FPGA es la flexibilidad. Esto viene dado por su naturaleza, donde un
ingeniero podría descargar un archivo para un FPGA en concreto y modificar el
comportamiento del mismo mediante cambios en dicho fichero
 Los FPGA es la aceleración. Cuando hablamos de aceleración tenemos que definir tres
escenarios totalmente distintos: fabricación, diseño y aceleración en sí misma, los FPGA de alto
rendimiento están ayudando a los procesadores mediante aceleraciones de carga y descarga de
información, con lo que se aumenta el rendimiento del sistema.
Xilinx
Xilinx ha desarrollado una gran variedad de dispositivos FPGA, con distintas características y
prestaciones, destinados a cubrir las necesidades de los usuarios. Dentro de la oferta de Xilinx podemos
encontrar seis familias ordenadas de menores a mayores prestaciones: Spartan, Virtex, Kintex, Artix,
Kintex UltraSCALE y Virtex UltraSCALE
SERIE SPARTAN
Los dispositivos de la familia Spartan son los dispositivos FPGA más optimizados en cuanto a costes,
ofreciendo características de conectividad dirigidas a empresas. Esta familia está dirigida a aplicaciones
de baja potencia, sensibilidad muy alta y de gran volumen, como pantallas y decodificadores.
Familia de FPGA Spartan-6
La serie Spartan-6 la forman 13 dispositivos, cada uno de ellos compuesto por un rango de celdas
lógicas (LCs, Logic Cells) que va desde 3840 hasta 147.443, consumiendo la mitad de energía que
series anteriores de la familia Spartan, con mayor rapidez y una conectividad más exhaustiva. Fueron
comercializados en 2009 como una solución de bajo coste para la automoción, comunicaciones y
aplicaciones para pantallas planas. [ CITATION XIL20 \l 3082 ]

Características principales de la serie Spartan-6

 Dentro de esta serie, se clasifican los dispositivos en 2 tipos LX y LXT.


 FPGA Spartan-6 LX Lógica optimizada.
 FPGA Spartan-6 LXT Conectividad en serie de alta velocidad.
 Diseño de bajo coste.
 Múltiples bloques integrados con eficiencia.
 Selección optimizada de estándares de E/S.
 Pines escalonados.
 Alto volumen de paquetes unidos por cables.
 Baja potencia estática y dinámica.
 Procesador de 45 nm optimizado para menor coste y baja potencia.
 Modo de suspensión con gasto cero de energía.
 El modo de suspensión mantiene el estado y la configuración.
 Potencia más baja Voltaje en el núcleo de 1.0 V.
 Alto rendimiento Voltaje en el núcleo de 1.2 V.
 Bancos de interfaces SelectIO multi-estándar y multi-voltaje.
 Rango de transferencia de datos.Hasta 1080 Mb/s por diferencial de E/S
(Entrada/Salida / Input/Output).
 Hasta 24 mA por pin de salida.
 Estándares y protocolos de E/S De 3.3 V hasta 1.2 V.
 Interfaces de memoria HSTL (High Speed Transceiver Logic / Lógica de transceptor de
alta velocidad) y SSTL (Stub-Series Terminated Logic) de bajo coste.
 Transceptores en serie GTP (Gigabit Transceiver with Low Power / Transceptor Gigabit de baja
potencia) de alta velocidad en los FPGAs tipo LXT.
 Son transmisores y receptores combinados para funcionar a una velocidad máxima de
datos de 3.2 Gb/s.
 Interfaces de alta velocidad.
 Bloques integrados para diseños PCI Express (Peripheral Component Interconnect /
Interconexión de componentes periféricos), que son interfaces punto a punto.
 Soporte tecnológico PCI de bajo coste compatible con la especificación de 33 MHz y 32/64 bit.
 Recursos lógicos abundantes con mayor capacidad lógica.
 Cambio de registro opcional o soporte RAM distribuido.
 LUTs eficientes de 6 entradas que mejoran el rendimiento y minimizan el consumo.
 LUT con flip-flops dobles para aplicaciones céntricas de canalización.
 Bloque RAM con un ancho rango de granularidad.
 Rapidez de bloque RAM mediante Byte Write Enable (con un Low se procesan Bytes).
 Bloques de 18 Kb que pueden ser opcionalmente programables como dos RAMs
independientes de 9 Kb.

En la tabla podemos observar las características principales de cada uno de los 13 dispositivos FPGA
de la serie Spartan-6

Figura 1.-Características generales de los dispositivos de la serie Spartan-6 de Xilinx

La arquitectura de los dispositivos de la serie Spartan-6 está formada por CLBs, Slices y celdas lógicas
(LCs).
Cada CLB en las FPGAs de la serie Spartan-6 consta de dos Slices unidas que forman parte de dos
columnas verticales. Hay tres tipos de Slices en la arquitectura de la serie Spartan-6:

 SliceM
 SliceL
 SliceX
Cada Slice contiene dos o más celdas lógicas individuales compuestas por cuatro LUTs, ocho flip-flops
y lógica miscelánea.
 SliceM La cuarta parte de las Slices de las FPGAs de la serie Spartan-6 son de este tipo. Cada
una de las 4 LUTs de las celdas lógicas de las SliceM pueden ser configuradas como LUT de 6
entradas y una salida, y como LUT de 5 entradas dobles con direcciones idénticas de 5 bits y
dos salidas independientes. Cada salida de las LUT puede ser registrada en un flip-flop dentro
del CLB. Para operaciones aritméticas, una cadena de acarreo de alta velocidad propaga las
señales de acarreo de forma ascendente en una columna de Slices[ CITATION Car16 \l 3082 ]

Figura 2.-Estructura de una SliceM de la familia Spartan-6


 SliceL: Constituyen otra cuarta parte de las FPGAs de la serie Spartan-6.Contienen todas las
características de las SliceM excepto la función de Memoria/Registro de desplazamiento

Figura 3.-Estructura de una SliceL de la familia Spartan-6

 SliceX: La mitad de las Slices de las FPGAs de la serie Spartan-6 son de este tipo. Éstas tienen
la misma estructura que las SliceLs, exceptuando la opción de acarreo aritmético y los amplios
multiplexores
Figura 4.-. Estructura de una SliceX de la familia Spartan-6
Cada FPGA de la serie Spartan-6 consta de hasta 6 CMTs (Clock Management Tile), que son las
unidades configurables donde se manejan los relojes para distribución, síntesis, desfase y otros . Cada
uno de ellos está formado por dos DCMs (Digital Clock Manager / Gestores del reloj digital) que son
las partes primitivas usadas para implementar los DLLs (Delay Locked Loop) y por un PLL (Phase-
Locked Loop), que puede servir como sintetizador de frecuencia para un rango amplio de frecuencias y
como filtro de la variación de retardo para nuevos relojes, en conjunción con los DCMs. Además, cada
FPGA proporciona abundantes líneas de reloj para dirigir los diferentes requisitos de sincronización de
alta dispersión, retardo corto de propagación y sesgo extremadamente bajo; entre ellas se encuentran
las líneas de reloj global y los relojes de E/S.

Figura 5.- Diagrama de bloque de un CMT la familia Spartan-6

Familia de FPGA Spartan-3


Características

 Spartan-3A DSP - DSP optimizado

 Para aplicaciones donde se requieren MAC DSP integrados y memoria expandida


 Ideal para diseños que requieren FPGA de bajo costo para aplicaciones de
procesamiento de señales como radio militar, cámaras de vigilancia, imágenes médicas,
etc.

 Spartan-3AN  - No volátil

 Para aplicaciones donde no es volátil, se requiere integración de sistemas, seguridad,


flash de gran usuario
 Ideal para aplicaciones críticas o seguras, así como para controladores integrados de
bajo costo.

 Spartan-3A   - E / S optimizada

 Para aplicaciones donde el recuento de E / S y las capacidades son más importantes que
la densidad lógica
 Ideal para puentear, señalización diferencial y aplicaciones de interfaz de memoria, que
requieren interfaces anchas o múltiples y procesamiento modesto

 Spartan-3E : lógica optimizada

 Para aplicaciones donde las densidades lógicas son más importantes que el recuento de
E/S
 Ideal para integración lógica, coprocesamiento DSP y control integrado, que requiere un
procesamiento significativo y estrechas o pocas interfaces

 Spartan-3 : para aplicaciones de mayor densidad y recuento de clavijas 

 Para aplicaciones donde tanto la alta densidad lógica como el alto recuento de E / S son
importantes
 Ideal para aplicaciones de procesamiento de datos altamente integradas[ CITATION
XIL202 \l 3082 ]

Familia de FPGA Spartan-7


Características

 Integración de sistemas programables

 Alto índice de conteo de pines con lógica para la conectividad de E/S


 IP suave de procesador MicroBlaze
 Seguridad y vigilancia integradas

 Reducción de costos de BOM

 XADC y SYSMON para la integración analógica discreta y circuito de vigilancia


 Optimizado en costos para sistema de ampliación de E/S

 Reducción de potencia total

 Voltaje del núcleo de 1,0 V u opción de tensión de base de 0,95 V


 50% de energía total más baja que los dispositivos de generación de 45 nm

 Mayor rendimiento del sistema


 30% de rendimiento más rápido que los dispositivos de generación de 45 nm
 LVDS de hasta 1,25 Gb/s
 Ancho de banda de memoria de 25,6 Gb/s DDR3-800 máxima con controlador de
memoria flexible y suave

 Productividad de diseño acelerado

 Habilitado por Vivado® HLx diseño Suite WebPack™


 Diseño de nivel de bloque corregido por construcción con Integrador IP Vivado
 Arquitectura escalable optimizada, herramientas integrales y reuso de IP[ CITATION
XIL201 \l 3082 ]

Sistemas Embebidos
“Un sistema embebido es cualquier dispositivo que incluye un computador programable, pero en sí mismo no
es un computador de propósito general”. [ CITATION WMo08 \l 12298 ]

“Un sistema embebido es un sistema electrónico que contiene un microprocesador o micro controlador; sin
embargo, no pensamos en ellos como un computador” [ CITATION SÁN \l 12298 ]

“Las personas usan el término sistema embebido para referirse a cualquier sistema de cómputo escondido en
algún producto o dispositivo” [ CITATION Mor00 \l 12298 ]

Definición grupal Sistemas Embebidos


Se trata de un sistema de computación diseñado para realizar una o algunas funciones dedicadas
frecuentemente en un sistema de computación en tiempo real. Al contrario de lo que ocurre con los
ordenadores de propósito general (como por ejemplo una computadora personal o PC) que están
diseñados para cubrir un amplio rango de necesidades, los sistemas embebidos se diseñan para cubrir
necesidades específicas.

Características de los Sistemas de los sistemas embebidos

 Deben ser confiables


 La confiabilidad, en inglés reliability R(t), es la probabilidad de que el sistema trabaje
correctamente dado que está funcionando en t=0.
 La mantenibilidad, en inglés Maintainability M(d), es la probabilidad de que el sistema
vuelva a trabajar correctamente d unidades de tiempo después de un fallo.
 La disponibilidad, en inglés Availability A(t), es la probabilidad de que el sistema esté
funcionando en el tiempo t.
 La seguridad informática: consiste en disponer de una comunicación confidencial y
autentificada.
 La creación de un sistema confiable debe ser considerada desde un comienzo, no como
una consideración posterior.
 Deben ser eficientes en cuanto a la energía, al tamaño de código, al peso y al costo.
 Están dedicados a ciertas aplicaciones. - Interfaces de usuario dedicadas (sin ratón,
keyboard y pantalla).[ CITATION MAN15 \l 12298 ]

Componentes de un sistema embebido


Un ES estaría formando por un microprocesador y un software que se ejecute sobre éste. Sin embargo
este software necesitará sin duda un lugar donde poder guardarse para luego ser ejecutado por el
procesador. Esto podría tomar la forma de memoria RAM o ROM. Todo sistema embebido necesitará
una cierta cantidad de memoria, la cual puede incluso encontrarse dentro del mismo chip del
procesador. También contará con una serie de salidas y entradas necesarias para comunicarse con el
mundo exterior.
 Plataforma de sistemas integrados: El Microprocesador (MP o µP) y los microcontroladores
(MCU)
 Comunicación: RS-232, RS-422, RS-485, UART / USART (Receptor Universal Sincrono y
Asíncrono / Transmisor), I2C (Circuito Inter-Integratedo), SPI (Bus de Interface periférico en
serie).
 Herramientas para probar y corregir (Depuración)

o JTAG (Joint Test Action Group) una interfaz especializada para la prueba saturada PCB;
o ISP (In-System Programming) Programación de Circuito;
o ICSP (circuito de programación en serie) - un método para la programación directa del
microcontrolador, por ejemplo, de la serie PIC and AVR;
o BDM (Modo de depuración de fondo) utilizado principalmente en productos de Freescale;
o IDE (Entorno de desarrollo integrado) para el desarrollo de programas. [ CITATION Mor00 \l
12298 ]

Un sistema embebido tiene característica semejante a la de un PC

Figura 6 Sistema embebido

Microprocesador: es el encargado de realizar las operaciones de cálculo principales del sistema.


Ejecuta el código para realizar una determinada tarea y dirige el funcionamiento de los demás
elementos que le rodean. Un microprocesador es una implementación en forma de circuito integrado
(IC) de la Unidad Central de Proceso CPU de una computadora.
Memoria: En ella se encuentra almacenado el código de los programas que el sistema puede ejecutar
así como los datos. Ejemplos ROM, RAM, CACHE.
Puertos de Entrada / Salida (I/O): Son puntos (nodos) en los que los dispositivos periféricos se
pueden conectar y pueden intercambiar información con la memoria y el procesador central.
Tipos de interfaces de la memoria
A los Buses se les denomina también sistemas de líneas para la conexión interna y externa entre los
dispositivos en un Sistema informático.
Bus de direcciones: está diseñado para enviar las direcciones, preparadas en el microprocesador, con
el objetivo de elegir una celda definida de la memoria o un Puerto I/O (Entrada/salida).
El bus de direcciones: es de un solo sentido: las direcciones siempre son generadas por la MS 2. Bus
de datos: A lo largo del bus de datos de intercambio de información (instrucciones o datos) se lleva a
cabo entre el microprocesador y los dispositivos periféricos, se trata de un intercambio de dos vías. Se
trata de operaciones de lectura y escritura.
Bus de control: es utilizado para el envío y la recepción de señales de control. Las señales de control
aseguran la sincronización (control del tiempo) entre el MS y el resto de los componentes del Sistema
A continuación se detalla un esquema de la conexión de los buses entre el MP, RAM y dispositivos de
E/S (I/O).[ CITATION MAN15 \l 12298 ]

TIPO DE ARQUITECTURA DE COMUNICACIÓN


Arquitectura Von Neumann (Tipo Princeton): memoria común, bus de datos e instrucción.
Arquitectura Harvard: memorias separadas, buses de datos e instrucciones
Arquitectura Super Harvard: memorias separada + CACHE para instrucciones + controladores I/O
(E/S).
Sistemas embebidos en base a fpgas
El avance de la tecnología permite abordar problemas cada vez más complejos con implementaciones cada vez
más compactas. Un claro ejemplo de esto son los sistemas embebidos (SE): dispositivos electrónicos compactos
y autónomos, con capacidad de cómputo, que realizan procesamiento de datos y/o control sobre variables
físicas externas. La mayor diferencia que presenta un SE, respecto de un computador personal (PC), es que un
SE está dedicado a una función particular para la cual fue desarrollado, mientras que un PC está concebido para
usos múltiples. Además, los recursos de hardware que dispone un SE son generalmente más reducidos y deben
afrontar importantes restricciones de consumo

Ejemplos actuales de equipos que contienen sistemas embebidos son:


Un router, un teléfono celular, un lavarropas, un equipo reproductor de audio o la unidad central de control de
un automóvil, entre muchos otros.

También son múltiples y diversas las aplicaciones biomédicas que requieren SE como dosificadores de drogas,
monitores de parámetros fisiológicos, interfaces cerebro-computadora y equipos de diagnóstico autónomos.
Una característica distintiva de estas aplicaciones es que deben cumplir con estrictas restricciones en los
tiempos de respuesta y de ejecución para las tareas que deben realizar, por lo cual exigen sistemas embebidos
de tiempo real. En general, las aplicaciones biomédicas requieren la captura de señales, su procesamiento y
además de generar estímulos en forma sincronizada con la adquisición de las señales. Estas demandas
imponen serias restricciones, tanto en el software como en el hardware de este tipo de equipos. [ CITATION
Sim99 \l 12298 ]

APLICACION DE LOS FPGAS

 Aerodefensa espacial: Radares y sistemas de guía pueden ser implementados, en muchos nivele
s: comercial, industrial y militar a través de tecnología FPGA.
 Audio y video: El manejo de una amplia Gama de señales permite que los
FPGA brinden soluciones de bajo costo y con gran confiabilidad.
 Automotriz: Los sistemas de navegación y asistencia al conductor, monitoreo
Del correcto funcionamiento deun vehículo, sistemas de información y entretenimiento pueden 
ser creados con estos dispositvos.

4. DIAGRAMAS Y ESQUEMAS
Todos los FPGAs,
independientemente del
fabricante, tienen ciertos
elementos en común, tienen
un arreglo tipo matricial de
elementos lógicos, como
flips-flops y lógica
combinacional

). Consisten en una Los terminales de


matriz bidimensional de entrada y salida del
bloques configurables FPGA usan celdas
que se pueden conectar ESTRUCTURA FPGA especiales de E/S que
mediante recursos son diferentes de las
generales de celdas de elementos
interconexión lógicos

La programación de las
elementos lógicos puede o no
ser permanente, eso depende
de la tecnología de
programación.

5. CONCLUSIONES

 FPGA es una herramienta poderosa en cuanto a las aplicaciones en las que se puede
incluir, su naturaleza reprogramablefunciones
En esta capa encontraremos los procesos de Sepermite modificar
trata de un sistema su comportamiento
de computación diseñado para realizar una o algunas
dedicadas frecuentemente en un sistema de computación en tiempo
para
la aplicación que se encargan recibir las
real. Al contrario de lo que ocurre con los ordenadores de propósito general
peticiones de las capas superiores y, si es
satisfacer las necesidades en las que se requiera.
necesario, devolver los datos solicitados.

 Es necesario tomar en cuenta que se requiere de capacidades y habilidades lógicas para


tener una descripción del hardware mucho menos compleja.
 Se determino las características
CAPA DE de la Serie Spartan así como los Sistemas Embebidos en
SISTEMAS
PROCESAMIENTO EMBEBIDOS
DE DATOS RECONFIGURABLES
base FPGA

6. BIBLIOGRAFÍA
SISTEMAS
Bibliografía
En el nivel más alto, los FPGAs son chips de silicio FPGA
DIGITALES
PROGRAMABLES:
reprogramables. Al utilizar bloques de lógica pre-construidos y
recursos para ruteo programables, usted puede configurar estos
CAPA DE
chips para implementar funcionalidades personalizadas en PROCESAMIENTO
hardware sin tener que utilizar una tablilla de prototipos o un
[1]
cautín.«Ingenieria Extraordinaria,» NATIONAL INSTRUMENTS CORP. TODOS LOS DERECHOS RESERVADOS, 23
Agosto 2017. [En línea]. Available: https://www.ni.com/es-cr/innovations/white-papers/13/advantages-
of-xilinx-7-series-fpga-and-soc-devices.html. [Último acceso: 14 Julio 2020].

[2] «XILINX,» [En línea]. Available: https://www.xilinx.com/products/silicon-devices/fpga/spartan-6.html.


[Último acceso: 14 Julio 2020].

[3] C. L. Rubia, «Repositorio UPM,» 12 Julio 2016. [En línea]. Available:


http://oa.upm.es/43400/3/TFG_CARLOS_LUENGO_RUBIA_INES_DE_MIGUEL_MATEO.pdf. [Último
acceso: 15 Julio 2020].

[4] «XILINX,» [En línea]. Available: https://www.xilinx.com/products/silicon-devices/fpga/spartan-3.html.


[Último acceso: 14 Julio 2020].

[5] «XILINX,» Digilent a National Instrument Company, [En línea]. Available:


https://www.digikey.com.mx/es/product-highlight/x/xilinx/spartan-7-fpga-family. [Último acceso: 14
Julio 2020].

[6] W.Morgan, Computers as Components: Principles or Embedded Computing System Design., Kaufmann,
Morgan, 2008.

[7] S. D. Rubén, «Estado del arte del desarrollo de sistemas embebidos desde una perspectiva».

[8] T. Morton, “Embedded Microcontrollers”., Prentice Hall., 2000.

[9] G. A. MANFREDI y H. RISSO, «Aplicación Multi-Cliente sobre Sistemas de Regulación de electrodos de


horno, utilizando Compact RIO y FPGA.,» IEEE, 2015.

[10] D. Simon, And Embedded Software Primer”. Addison-Wesley Professional, 1999..

También podría gustarte