Está en la página 1de 3

UNIVERSIDAD POLITÉCNICA SALESIANA CIRCUITOS DIGITALES AVANZADOS

Fecha: 04/12/2019

Informe de práctica
Mario Wladimir Yánez Vela
Myanezv3@est.ups.edu.ec
Alex García Gualoto
agarciag5@est.ups.edu.ec

RESUMEN: Mediante álgebra de Boole y diagramas se de ecuaciones booleanas, por lo cual dicho circuito
quiere mostrar cómo se puede construir fácilmente un puede ser diseñado en base de tablas de verdad.
comparador binario de n bits a partir de comparadores
más simples de dos y cuatro bits para implementar de Entre los circuitos combinacionales más conocidos
manera rápida uno de estos circuitos combinatorios constan:
secuenciales usando case-is-when.
 Lógicos
PALABRAS CLAVE: FPGA Spartan-3E, software Xilinx  Multiplexor y Demultiplexor
ISE Design Suite 12.1, computador.
 Codificador y Decodificador
1. OBJETIVOS  Comparador
 Aritméticos
1.1 OBJETIVO GENERAL  Sumador
 Diseñar e implementar circuitos combinaciones Estos circuitos están compuestos únicamente
en la tarjeta Spartan-3E. por puertas lógicas interconectadas entre sí.
1.2 OBJETIVOS ESPECÍFICOS 3.2 COMPARADOR BINARIO DE 2 BITS
 Diseñar eh implementar de una forma óptima Se puede decir que el funcionamiento del circuito
un comparador de dos bits. combinacional a diseñar, es análogo al funcionamiento
del circuito integrado 7485 estudiado en los cursos
 Diseñar e implementar un circuito anteriores, el cual es un circuito comparador de 4 bits.
combinacional el cual prenda las salidas de
acuerdo al número que se coloque en los
switch.

2. INTRODUCCIÓN
En el presente informe se describe el funcionamiento
de un circuito comparador de dos números A y B de dos
bit cada uno, el código se ha realizado en VHDL, por lo
que A, B serán vectores, existen tres salidas lógicas Fig 1. Circuito logico comparador [1].
respectivamente X, Y, Z, como indicadores de los
resultados obtenidos en la comparación. Posteriormente
también se realizara el análisis de un código enfocado al El circuito que se diseñara, también presentara tres
manejo de puertos de salida y entrada en el FPGA. salidas, cada una de ellas cubrirá uno de los posibles
resultados obtenidos al realizarse una comparación,
3. MARCO TEÓRICO igual, menor que o mayor que.

3.1 CIRCUITO COMBINACIONAL


Entonces para realizar el respectivo diseño del
Los circuitos lógicos pueden ser de tipo secuencial o circuito, analizaremos los posibles. Sean A y B las
combi nacional. Básicamente un circuito combinacional entradas del circuito combinacional de dos bits cada
se define como un circuito lógico en el cual, sus salidas una de ellas.
están determinadas en todo momento por sus entradas.
Las operaciones que realiza un circuito
combinacional, se pueden definir mediante un conjunto

1
UNIVERSIDAD POLITÉCNICA SALESIANA CIRCUITOS DIGITALES AVANZADOS

Fecha: 04/12/2019

Fig 2. Codigo VHDL

Tabla 1. Tabla de verdad.


A1 A0 B1 B0 Y
0 0 0 0 1
0 0 0 1 0
0 0 1 0 0 Con el código terminado y correctamente compilado,
0 0 1 1 0 procedemos a definir, los puertos físicos destinados a
0 1 0 0 0 cada entrada y salida lógica.
0 1 0 1 1
0 1 1 0 0
Mediante la opción I/O planing las variables lógicas,
0 1 1 1 0 tomarán una representación física en la tarjeta FPGA.
1 0 0 0 0
1 0 0 1 0 Para realizar la asignación de variables en la FPGA,
1 0 1 0 1 consideramos los nombres que cada puerto tiene en la
1 0 1 1 0 placa, además de configuraciones referentes a niveles
1 1 0 0 0 de voltaje, drives, etc.
1 1 0 1 0
1 1 1 0 0
1 1 1 1 1

4. MATERIALES Y EQUIPO
 Tarjeta Spartan 3E de Diligent.
 Software de programación ISE de Xilinx.
 Cable USB de enlace.
 Cable PPI para comunicación.

Fig. 3 Asignación de variables mediante I/O planing.


5. DESARROLLO Y
En este entorno se asignó variables de software a
PROCEDIMIENTO hardware, aquí se asignó tanto las salidas como las
entradas de acuerdo a los nombres que se encuentran
Para el desarrollo de los ejercicios que constan en en la tarjeta.
esta práctica se utilizó el software Xilinx ISE Design
Suite 12.1, el cual nos da la posibilidad de programar un Para finalizar el proceso, despues de configurara la
FPGA tanto en lenguaje Verilog como VHDL. targeta, se genero el archivo de programa, el cual fue
cargado en la tarjeta.
5.1 Diseñar un comparador de A0,A1,B0,B1
con una salida Y

En el diseño correspondiente al comparador,


físicamente se tendrán 4 entradas, las cuales al tomarse
en pares, conforman una única entrada individual.

Además se considerarán tres salidas físicas,


representadas por leds, las cuales darán una señal
visual que definirá la condición ejecutada, respecto a la
comparación de las entradas. Fig. 4 Pantalla de carga del programa.

Con el código VHDL cargado en la tarjeta se


procedió a realizar la comprobación física de la lógica
aplicada en el diseño.

2
UNIVERSIDAD POLITÉCNICA SALESIANA CIRCUITOS DIGITALES AVANZADOS

Fecha: 04/12/2019

entradas, sin que intervenga ninguna señal de


reloj.

8. RECOMENDACIONES

 Se recomienda tener precaución el momento de


desconectar la alimentación de la tarjeta FPGA,
ya que al realizar esta acción, el código
cargado en la tarjeta se perderá.

Fig. 5 A0,A1,B0,B1 se enciende el led 5 como se 9. REFERENCIAS


muestra en la figura.
Así para las diferentes secuencias según la tabla de [1] M. Morris, “Lógica Combinacional” en Diseño Digital,
verdad Pearson Education, segunda edición, México DF, 2008,
cap: 4, pp: 111-113.
Los resultados obtenidos, fueron consistentes con
los esperados y planteados en el programa. [2] J. Heredia; "Practica 2: Implementación de circuitos
combinacionales" en Manual de procedimiento de
prácticas; Universidad Politécnica Salesiana sede Sur;
6. ANÁLISIS Y RESULTADOS Circuitos Digitales Avanzados; Periodo 46; 2015.
En la parte final del código, las variables tipo signal
son las que van a ser comparadas, y no directamente [3] R. CARDENAS, "CONFIGURACIÓN Y USO
las entradas. SOFTWARE Y HARDWARE FPGA" en CURSO FPGA
(PROGRAMACION DE ARREGLOS DE
Código desarrollado COMPUERSTAS), UAM, Colombia, AGOSTO DE 2009,
MANIZALES, cap: 2, pp: 14-25.
En la declaración de entradas y salidas, las variables A1
y A0 conforman el primer número de dos bits,
análogamente B1 y B0 conforman el segundo número
de dos bits, mientras que Y van destinadas a ser las
salidas, Y seguirán la tabla de verdad posibles valores
booleanos de la comparación.
A continuación se crean variables internas tipo vector de
dos posiciones, a las cuales se les asigna un valor para
cada posición.
Se establece la comparación entre los números ya
asignados a las variables tipo signal A y B. Mediante
sentencias del tipo IF
Al realizar la respectiva simulación en el test bench, se
observa que todas las condiciones en el intervalo de
números contenidos por dos bits, se han cumplido..

7. CONCLUSIONES
 El desarrollo del comparador de dos bits, sin
usar variables internas de tipo signal, es posible
ya que según la tabla 1, se podría realizar la
comparación, posición por posición y caso por
caso para las dos entradas, sin embargo eso
implicaría más líneas de código, por lo cual se
concluye que el uso de variables internas es
más óptimo.

 Para la realización de la simulación de un


circuito combinacional en el Test Bench es
necesario expresar, todas y cada una de las
posibles de las entradas, con el fin de obtener
todas las respuestas posibles en las salidas, ya
que en estos tipos de circuitos, las salidas
dependen únicamente de la combinación de

También podría gustarte