Está en la página 1de 1

VHDL

VHDL es un lenguaje de especificación utilizado para describir circuitos digitales y para la


automatización de diseño electrónico. VHDL es acrónimo proveniente de la combinación de
dos acrónimos: Aunque puede ser usado de forma general para describir cualquier circuito
digital se usa principalmente para programar. Es un lenguaje de descripción de circuitos
electrónicos digitales que utilizan distintos niveles de abstracción, tal que nos  permite
acelerar el proceso de diseño.

VHDL
Es un lenguaje de descripción de hardware, esto significa que mediante él se puede describir
la forma de comportarse de un circuito electrónico. El comportamiento puede ser llevado
a algún dispositivo que dispondrá de sus propios componentes con los que lograr ese
comportamiento deseado. La forma de comportarse es independiente del hardware donde
se implementará.

Sus ventajas son:

 Una disponibilidad pública


 Independencia de dispositivos y fabricantes
 Reutilización
 Diseño jerárquico
Fácil diseño y complementos

VHDL

BJETIVOS
OBJETIVO GENERAL

Conocer e investigar los diferentes estilos específicos de programación del lenguaje VHDL

OBJETIVOS ESPECÍFICOS

Implementar una práctica que permita al alumno manejar con fluidez la descripción textual de
los dispositivos digitales en particular utilizando VHDL desde un entorno de programación y
simulación.

Utilización de las construcciones secuenciales físicas de VHDL

Familiarización con el entorno VHDL para compilar, depurar, simular  y trazar programas.

También podría gustarte