Está en la página 1de 4

Universidad del Quindío

Programa de Ingeniería Electrónica - Circuitos Lógicos


Laboratorio No 2: VHDL

Objetivos
 Conocer el modelo de programación del lenguaje en VHDL.
 Diseñar e implementar circuitos combinatorios mediante el lenguaje de descripción de hardware VHDL,
usando selección de señal (with select).

Sentencia with select tiene la siguiente estructura, veamos un ejemplo de una arquitectura:

architecture ejemArq of ejemplo is


begin
with ABC select
Q <= "01" when "000",
"10" when "001",
"10" when "010",
"01" when "101",
"11" when "110",
"00" when others;
end ejemArq;

Actividad de aprendizaje

1. Encuentre la tabla de verdad que realice la misma función del siguiente programa e impleméntelo
usando la sentencia with select en VHDL.

void main ()
{
bit2 a[2], b[2]; //Entradas de 2 bits
bit1 y; //Salida de 1 bit
if (a[2] >= b[2]) y = 1;
else y = 0;
}

Para declarar una entrada o una salida de más de un bit, use:

Q: in std_logic_vector(2 downto 0); -- entrada de 3 bits

1
2. Implemente una ALU de 4 operaciones entre dos números de dos bits, así:
a. Operaciones:
Para 00 a + b (aritmética)
01 a AND b
10 a OR b
11 NOT a

Debe adicionar estos dos paquetes, ya que se va realizar una operación aritmética:

use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

Implemente la ALU usando la sentencia with select en VHDL.

PARTE 1:

Fig.1. programa donde se define las variables mediante la utilización de un vector, y se obtiene su tabla de verdad.

2
Fig.2. Diagrama de bloques de tiempo en el cual se analiza la toma de decisión para salir a comer en una familia.

PARTE 2:

Figura.3. Código en el cual se Implementa una ALU de 4 operaciones entre dos números de dos bits

3
Figura.4. Diagrama de bloque de tiempo donde se analiza su salida de acuerdo a las operaciones de la ALU.

También podría gustarte