Está en la página 1de 9

UNIDAD 3: TAREA 3 - CIRCUITOS SECUENCIALES

LEIDER MORENO DAZA

CÓDIGO 5594054

ELECTRÓNICA DIGITAL

CARLOS AUGUSTO FAJARDO

TUTOR

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA – UNAD

TECNOLOGÍA EN AUTOMATIZACIÓN ELECTRÓNICA

PUERTO BOYACÁ- BOYACÁ

ABRIL 2019
Ejercicios a resolver.

1. Diseñe un flip-flop tipo D, con reset activo en alto y enable activo en alto.

a. Un pantallazo con la descripción en VHDL

b. Un pantallazo con el RTL generado por VIVADO.


2. Diseñe un registro de 8 bits con reset activo en alto.

a. Un pantallazo con la descripción en VHDL

b. Un pantallazo con el RTL generado por VIVADO.


3. Diseñe un flip-flop tipo T con enable.

a. Un diagrama de bloques.

S
D Q

E R

b. Un pantallazo con la descripción en VHDL


c. Un pantallazo con el RTL generado por VIVADO.

4. Diseñe un contador ascendente módulo N, donde N corresponde a su edad.

a. Un diagrama de bloques diseñado por el estudiante.

b. Un pantallazo con la descripción en VHDL


c. Un pantallazo con la simulación, en el cual se evidencie el correcto funcionamiento del
diseño.

5. Diseñe un contador descendente módulo M, donde M es su edad. El diseño debe incluir:


a. Un diagrama de bloques.
b. Un pantallazo con la descripción en VHDL

c. Un pantallazo con la simulación, en el cual se evidencie el correcto funcionamiento del


diseño.
6. Diseñe un contador ascendente/descendente módulo M, donde M es su edad. El diseño
debe incluir:

a. Un diagrama de bloques.

b. Un pantallazo con la descripción en VHDL

c. Un pantallazo con la simulación, en el cual se evidencie el correcto funcionamiento del


diseño.

También podría gustarte