Está en la página 1de 45

320 ELECTRONIC

DAI G I T A L

Q"o x00 01 11 10 ara,,

00 0 0 0 0

01
¡
0
Í f-'') a)
11_
"l x [,. t'-,1
10 X X X X

J r = Q r ' O o + Q r 'X Kr:O.,'On+A,,'*

{ xoo 01 11 10 oro,

n r;-)
Q,Q r \

oo
I 0
I

01 " J
X X [,.
c)
11 X X X

10 \1/ 0
U 0

J r : Q o 'r ¡ Q r . X + Ó r ' X K',:Ao+X

a,o. x o o 01 11 10 arQ',

00 0
I 'l X

01 0 1 X X

e)
11 0 1 X X

10 0
\1_ ) X

Jo:X Ko:Ó,x+a.,.8
Ko=(Q,+A)'X

F i g u r a7 . 4 3 . M a p a s d e K a r n a u g h p a r a e l c á l c u l o d e l a s e c u a c i o n e sd e e n t r a d aa l o s b i e s t a b l e s
d e l P r o b l e m a7 . 1 2 .

El circuito queda como se muestra en la Fieura 7.44.


AUTOMATASFINITOS 321

7 4 7J
t
" 0
)C,
aH
,K. 0 o.+
i
I
b
C
Salida

7404
Entradade control
pares/impares

Entrada impulsos
ck
, Figura 7.44. C i r c u i t o r e s u l t a d od e l P r o b l e m a7 . 1 2 .

7.13. Diseñar un contador de 0 a 7 que mediante una señal de control sólo cuente hasta 3 (de 0 a 3).

Solución: De acuerdo con el enunciado del problema, si el circuito se implementa utilizando la teoría
de autómatas hnitos tendrá ocho estados sobre los que se puede evolucionar de acuerdo con el
diagrama de flujos de la Figura 1.45.

lul101

Figura 7.45. D i a g r a m ad e f l u j o s d e l c o n t a d o r c o n t r o l a d o ( P r o b l e m a7 . 1 3 )

La Tabla 7.31 es la de estados,que correspondecon el diagrama de flujos de la Figura7.45.


322 E L E C T R O N I CDAI G I T A L

Tabla 7.31. T a b l a d e e s t a d o sd e l P r o b l e m a7 . 1 3

Estadopresente X Estadofuturo A B C

Io 0 Il 0 0 0
I^ I I1 0 0 0
I1 0 I2 0 0 1
Il I I2 0 0 1
I2 0 I.-) 0 1 0
I2 I I"-t
0 1 0
I. 0 Io 0 1 1
I" I I4 0 1 1
I4 0 Io 1 0 0
I^ I I .) 1 0 0
Is 0 Io 1 0 1
I. f
I I6 1 0 1
I. 0 Io 1 1 0
I6 I I1 1 1 0
I1 0 Io 1 1 1
[1 I Io 1 1 1

Al haberseelegido el modelo de Moore para la implementacióndel sistema,y para simplificar el cir-


cuito de salida, se codifican los estados internos de manera que coincidan con las salidas,por tanto:
1o .__-- 000 1¡ .-' 011 Iu --r I l0
1r - 001 1o --------+ 100 It - lll
12----------------'
010 1s----------------'
101

En estecaso se decide implementar el circuito con biestabletipo J-K, por lo que se puede realizarla
Tabla 7.32 donde ya se han sustituido los estadosinternos por los códigos asignados.

Tabla 7.32. T a b l a d e t r a n s i c i o n e sd e l P r o b l e m a7 . 1 3

Estadopresente Estadofuturo Salidas


X J2 K2 Jl K1 Jo Ko
Qzot Qto Qoo Qrrrn
rtQrt*\Qo< r* , A B C

0 0 0 0 0 0 1 0 x 0 x 1 x 0 0 0
0 0 0 I 0 0 1 0 x 0 x 1 x 0 0 0
0 0 1 0 0 1 0 0 x 1 x x l 0 0 1
0 0 1 I 0 1 0 0 x l x x l 0 0 1
0 1 0 0 0 1 1 0 x x 0 l x 0 1 0
0 1 0 1 0 1 1 0 x x 0 l x 0 1 0
0 1 1 0 0 0 0 0 x x l x l 0 1 1
0 1 1 I 1 0 0 1 x x l x 1 0 1 1
1 0 0 0 0 0 0 x l 0 x 0 x 1 0 0
1 0 0 1 1 0 1 x 0 0 x l x 1 0 0
1 0 1 0 0 0 0 x 1 0 x x 1 1 0 1
1 0 1 I 1 1 0 x 0 1 x x l 1 0 1
1 1 0 0 0 0 0 x 1 x 1 0 x 1 1 0
1 1 0 1 l l l x 0 x 0 l x 1 1 0
l l l 0 0 0 0 x 1 x l x l 1 1 1
1 1 1 I 0 0 0 x 1 x 1 x l 1 1 1
AUTOMATASFINITOS 323

Los mapas de Karnaugh para deducir las ecuacionesde interconexión de los biestablesJ-K y las
salidas,son los que se muestranen la Figura 7.46.

ao 0
(oo ( oo 01 11 to
o2a 01 11 10 QrA

00 0 0 0 0 00
¡
X

F
01 0 0
r,-) 0
a)
01 X e
¡ b)
11 X
t_, 11 1 0
\1_ :)
10 X X X 10 j 0 0
I
Jr:O','Ao'X Kz:X+O1'Ao

o
( oo 01 11 10 ara xoo 01 11 10
Q, I

"\
00 0 0
lf' I 00 X X

F ¡
01 X
(_ ,.l 01 0 0 1 1
c) d)
11 X x 11 r-) 0 1
f
10 0 0 t, 0 10 ") X X
l
l x

J.,:Ao.X+Oo.Ó, K.,:Oo+Ar'Y

o
ara 00 01 11 10

00
(: X 1 1 l
I

01 X 1 1

11 1 1

I
10
L 1
)
o::O
Jo Qrt++YX Ko:1

Figura 7.46. M a p a s d e K a r n a u g hp a r a e l c á l c u l o d e l a s f u n c i o n e s d e e n t r a d ad e l o s b i e s t a b l e s
d e l P r o b l e m a7 . 1 3 .
324 ELECTRONIC
DAI G I T A L

Finalmente, el circuito queda como se muestra en la Figura 7.47.

control C* Entrada imPulsos

F i g u r a 7 . 4 7 . R esul tado
del Problem7
a.13.

7.14. Diseñar un contador síncrono de módulo 6 con salida de arrastre (Carry) utilizando para
ello biestablesdel tipo ./-K.
Solución: El diagramade flujosdel circuitoes el que se muestraen la Figura7.28.

X=O

X=1

x--o
x:1

X:O
x:o
Figura 7.48. D i a g r a m a d e f l u j o s d e u n c o n t a d o r d e m ó d u l o 6 c o n s a l i d a d e a r r a s t r ed e l
P r o b l e m a7 . 1 4 .
AUTOMATASFINITOS 325

La tabla de estadosde este sistemaserá la que se muestra en la Tabla7.33.

Tabla 7.33. T a b l a d e e s t a d o s d e l P r o b l e m a7 . 1 4

Carry Salida contador


Estado actual X Estado futuro
C A B C

Io 0 Io 0 0 0 0
Io I Ir 0 0 0 0
Il 0 Ir 0 0 0 1
I1 I I2 0 0 0 1
I2 0 I2 0 0 1 0
I2 I I^
-l
0 0 1 0
I"
-l
0 I.
J
0 0 1 1
I.
J
I I4 0 0 1 1
I4 0 I4 0 1 0 0
I4 I I.) 0 1 0 0
Is 0 Is 1 1 0 1
Is I Io 1 1 0 1

Como el contador tiene seisestadosinternos, para poder codificarlos se necesitanal menos tres bits.
Además, como se ha tenido la precaución de utilizar el modelo de Moore, si hacemos coincidir los
estados internos con la salida las funciones de éstas coincidirán con las salidas de los biestables,por
tanto se realiza la siguiente asignación de estados:

1o-----.---------
000 1¡.+ 011
1r .-.-----------*
001 14 ----------------+
100
12 ----------------
010 1. ---------+ 101

Por tanto, la Tabla 7.34 es la de transicionesdel contador de módulo 6, utilizando biestablesdel


tipo "/-K activos por flanco de bajada.

Tabla 7.34. T a b l a d e t r a n s i c i o n e sd e l P r o b l e m a7 . 1 4 .

Estado actual - Estadofuturo Salida


J2 Kz Jr Kr Jo Ko CR
Qzo Qto¡ Quvt Qze+t) Q\t+t\ Qot,*rt A B C

0 0 0 0 0 1 0 x 0 x I X 0 0 0 0
0 0 1 0 1 0 0 x l x X I 0 0 1 0
0 1 0 0 1 1 0 x x 0 I X 0 1 0 0
0 1 1 1 0 0 1 x x l X I 0 1 1 0
1 0 0 1 0 1 x 0 0 x 1 X 1 0 0 0
1 0 r 0 0 0 x 1 0 x X I 1 0 1 I

Aplicando el método de Karnaugh se pueden deducir las funciones de entrada y salida de los
biestables(Fig. 7.a9).
326 ELECTRONICA
DIGITAL

O.o, arQ,
00

\o ,o., ora',
o o \ 00 01 11 10

0 0 X X
0
c)
1
Cl X 0

J,:Ór' Oo

¡ O ,O,,
o \ 00 01 11 10

0
I ' X

1 (_ 1 X

Ko=1

100
O"\\C 01 11 10

0 0 0 0
s)
I 0 0
Cl
C^:Qo'Q,

Figura 7.49. M a p a s d e K a r n a u g hp a r a l a o b t e n c i ó nd e l a s f u n c i o n e sd e e n t r a d ay s a l i d a
d e l o s b i e s t a b l e s( p r o b l e m aj . 1 4 ) .
A U T O M A T A SF I N I T O S 327

Por tanto, el circuito queda tal y como se muestra en la Figura 7.50.

Salida
CR

L . - .

Entrada
Clock

Figura 7.50. R e s u l t a d od e l P r o b l e m a 7 . 1 4 .

7.15. Diseñar un contador síncrono de módulo 10 con salida de carry utilizando para ello
biestablestipo 7.

al que se muestraen la
Solución: El diagramade flujos del contadorde módulo 10 corresponde
F i s u r a7 . 5 l .
328 ELECTRONIC
DAI G I T A L

X:O X=O X:O X :O


/"/s=0000 x:1 X:1 i./s=0010
X:1
/3/s=0011
c:0

x:1
x=o

/./S=0100

X:O

/ , / S = 0 11 1 / . / S = 0 11 0

c:0
X:O

Figura 7.51. D i a g r a m ad e f l u j o s d e un contador de décadas con salida de carry (Pro-


b l e m a7 . 1 5 ) .

Por tanto, la Tabla 7.35 será la tabla de estadoscorrespondienteal diagrama de flujos de la Figu-
r a 7 . 5 1 ,e n l a q u e y a s e ha tenido en cuentaque para pasarde un estadoa otro la entrada X ha de estar
u I y, por tanto, se ha eliminado de la tabla.

Tabla 7.35. T a b l a d e e s t a d o sd e l P r o b l e m a7 . 1 5

Salida Carry
Estado actual Estado futuro
A B C D CR

Io I1 0 0 0 0 0
I.l I2 0 0 0 1 0
I2 I--l
0 0 1 0 0
I"-) I1 0 0 1 1 0
I1 I.J
0 r 0 0 0
Is I6 0 1 0 1 0
I6 I1 0 r l 0 0
I1 I,ó 0 1 t l 0
I8 Ie 1 0 0 0 0
Ie Io 1 0 0 1 I
AUTOMATASFINITOS 329

Como hay diez estados distintos, harán falta al menos cuatro dígitos para poder codificarlos.
Además. como hemos utilizado el modelo de Moqre, se hacen coincidir los estados internos con los
valores de las salidas,de tal manera que en cada momento la salida coincida con el estado interno del
biestablecorrespondientey, por tanto, se ahorra el circuito de salida.
Además, teniendo en cuenta que se utilizan biestablesdel tipo 7",la tabla de transicioneses la que se
muestra en la Tabla 7.36.

Tabla 7.36. T a b l a d e t r a n s i c i o n e sd e l P r o b l e m a7 . 1 5

Estado actual Estado futuro Carry


T3 T2 Tr To
Qz@ Qu,¡ Qr<¡ Qsu+tl Qz(,*rl Qt(t+rt Qn(+t CR
Qx¡

0 0 0 0 0 0 0 1 0 0 0 1 0
0 0 0 1 0 0 1 0 0 0 1 1 0
0 0 1 0 0 0 1 1 0 0 0 1 0
0 0 1 1 0 1 0 0 0 1 1 1 0
0 1 0 0 0 1 0 1 0 0 0 1 o
0 l 0 l 0 1 1 0 0 0 1 1 0
0 1 1 0 0 1 1 1 0 0 0 1 0
0 1 1 1 1 0 0 0 1 1 1 1 0
1 0 0 0 l 0 0 l 0 0 0 1 0
1 0 0 1 0 0 0 0 1 0 0 1 I

Para obtener las funciones de entrada y salida de los biestablesse emplea el metodo de Karnaugh
(Figura7.52).

o, 3

).00
,00 01 11 10 a 01 11 10
n
00 0 0 X 0 00 0 0 X 0

C_
01 0 0
l a)
01 0 0 x U
b)
1'l 0
C
*-.,
[ , \
\J
X
I
¡ 11
C 1 X

l
10 0 0 X 10 0 0 X X

T " : A r ' Q o + A r ' Q . , 'Q o Tr:A','Qo

Figura 7.52. Mapas de Karnaughpara deducir las funciones de entraday salida de los
b i e s t a b l e sd e l P r o b l e m a7 . 1 5 .
330 ELEC-RoNlcA
DtGtrAL

,00 01 11 10
o
00 0 0 X 0

01 n- ¡
X 0
c)
11
L :)
10 0 0 X

T.,=Ó".oo

o1 '10
2. 00 01 11
oo\

00
f- 1 X 1 l
01 1 I 1
d)
11 1 1 X X

I
I
10 l 1 1 X I

To:1

o)€ 2,00
a" 01 11 10

00 0 0 X 0

01 0 0
f- 1 l
I
e)
11 0 0 x l
\:_
10 0 0 X X

C:Qr.Ao

Figura 7.52. M a p a s d e K a r n a u g h p a r a d e d u c i r l a s f u n c i o n e s d e e n t r a d ay s a l i d ad e l o s
biestablesdel Problema 7.15. (Continuación).

Por tanto, el circuito será el de la Fieura 7.53.


A U T O M A T A SF I N I T O S 331

Figura 7.53. R e s u l t a d od e l P r o b l e m a7 . 1 5 .

biestables-/-K
7.16. Utilizando el conceptode autómatasfinitos, diseñarun dado electrónicocon
y la lógica necesaria.

contador de seis
Solución: La implementación de un dado se puede simular sin más que diseñar un
donde la entrada a contar es un tren de
estados,que correspondencon las seiscaras que tiene un dado,
de una frecuenciaelevada (del orden de I KHz por ejemplo) y
impulsos generadopor un multivibrador
que se cuenta o no depen<liendocle que se pulse o no el interruptor de tirada.
Segúnlo expuestohasta el momento, nuestro diagrama de estadosserá el de la Figura7.54,enel
para
qu. ufu...en lás salidas del 1 al 6 en binario. Se ha optado por utilizar un autómata de Moore
poa.i hacer coincidir los estados internos con las salidas y de esta forma simplificar el diseño.
332 E L E C T R O N I CDAI G I T A L

X:O x=0 X:O

X:1 x=1 x:1


l,l01o

Figura 7.54. D i a g r a m ad e e s t a d o sd e l d a d o e l e c t r ó n i c o( P r o b l e m a7 . 1 6 ) .

La tabla de estadosde acuerdo con el diagrama de estadoses la Tabla 7.37.

Tabla 7.37. T a b l a d e e s t a d o sd e l P r o b l e m a7 . 1 6

Salidas
Estado presente X Estado futuro
s, s, s,
Io 0 Io 0 0 1
I,) I I1 0 0 1
I1 0 I1 0 1 0
I1 I I2 0 r 0
I2 0 I2 0 l r
I2 I I- 0 r 1
I" 0 I" r 0 0
I.
J
I I4 1 0 0
I4 0 I4 r 0 l
I1 I I .) 1 0 1
Is 0 I.) 1 1 0
I.
J
1 Io I l 0

Seguidamentese hace la asignaciónde estados;en el caso que nos ocupa haremos coincidir los
estados internos con las salidas, para simplifrcar más rápidamente éstas,ya que, de esta manera, al
simplificarlascoinciden con los estadosinternos del autómata y, por tanto, con las salidas
Q" de los
biestables.Luego la asignaciónserá la siguiente:

1o--..-.-- 001 13 --------, 100


/r - 010 Ia---- l0l
1z-011 Is --------+ 110

Sustituyendoesta codificaciónen la tabla de estadosy ampliando ésta con la tabla de transiciones


de los biestables./-K se obtiene la Tabla 7.38.
A U T O M A T A SF I N I T O S 333

Tabla 7.38. T a b l a d e t r a n s i c i o n e sd e l P r o b l e m a7 . 1 6

Estado presente Estado futuro Salida


X J2 K2 Jr Kr Jo Ko
Qr<,t Qtt¡ Qrut Qz<t+t¡ Q\t+tl Qov*rl ü ^tr so

0 0 r 0 0 0 1 0 0 1 U < 0 x x 0
0 0 r I 0 1 0 0 0 1 0 x 1 x . x l
0 1 0 0 0 1 0 0 1 0 0 x x 0 0 x
0 1 0 1
I 0 1 1 0 1 0 0 x x 0 1 x
0 l l 0 0 l l 0 1 1 0 x x 0 x 0
0 1 1 I 1 0 0 0 1 1 1 x x l x 1
1 0 0 0 1 0 0 1 0 0 x 0 0 x 0 x
1 0 0 I 1 0 1 1 0 0 x 0 0 x l x
1 0 1 0 1 0 1 1 0 1 x 0 0 x x 0
1 0 1 I 1 1 0 1 0 1 x 0 l x x l
1 1 0 0 1 1 0 1 1 0 x 0 x 0 0 x
1 1 0 I 0 0 1 r 1 0 x l x l l x

Teniendo en cuenta que la variación de un estadointerno a otro se realizasiempreque X sea l, se


pueden obtener las ecuacionesde entrada de los biestablesteniendo en cuenta sólo Qt, Qt Y Qo, Y
haciendoentrar el tren de impulsos por la señal de reloj.
Con ello, los mapas de Karnaugh para las ecuacionesde entrada de los biestablesson las que se
m u e s t r a ne n l a F i g u r a 1 . 5 5 .

Jr:Q',' Qo

Kr=Ao+O,

01 11

I =1
"o

Figura 7.55. M a p a s d e K a r n a u g hp a r a e l c á l c u l o d e l a s e c u a c i o n e sd e l a s f u n c i o n e sd e l o s
biestables.
334 E L E C T R O N I CDAI G I T A L

L¿s salidas, al haber utilizado el modelo de autómata de Moore y


al haber hecho coincidir la
codlficacion de los estados internos con los de las salidas,coinciden con las
e.
Por tanto' el circuito, aplicándole el decodifrcadory el display, queda como
se muestra en la Figu-
ra 7.56.

A B C D E F G

B
I
/
RR
BB L
1 2 4 810I T

Jo P^ Ao

fm p u l s o s d e c l o c k

F i g u r a 7 . S 0 . R e s u l t a ddoe l p r o b l e m a7 . 1 6 .

7'17' Un sistema-sencillopara probar circuitos digitales combinacionales


es tomar un circuito
patrón igual al que se quiere probar, poner a ambos todas las posibles
combinacionesde las
variablesde entrada y comprobar que para cada una de ellas la
salida de ambos circuitos
coincide, en este caso el circuito bajo prueba será correcto. Si no se produce
coincidenciade
salidas para una combinación de las variables de entrada, el cirtuito
bajo prueba será
defectuoso.
Basándoseen esto,diseñarun sistemaautomático de prueba de circuitos
combinaciona-
les de tres entradascuyo diagrama de bloques es el ..pr.r.ntado
en la Figura 7.57.
A U T O M A T A SF I N I T O S 335

c c
I I
R R
C c
U U CIRCUITO
3
I I
T T
o o
1 2

Figura 7.57. D i a g r a m a d e b l o q u e s d e l s i s t e m ad e p r u e b a s p a r a c i r c u i t o s c o m b i n a c i o n a l e s .

Diseñar los circuitos 1, 2 y 3 cuyas misionesson las siguientes:

Circuito l: Debe poner automáticamente todas las combinaciones de entrada a los


circuitos bajo prueba,teniendoen cuenta que la combinación 100 no debe darse nunca, ya
que si esto ocurre el circuito en prueba y el patrón se destruirían por ser una combinación
de proteccióncontra copias.
Debe encendersela lámpara cuando se haya terminado de poner todas las combinacio-
nes y mantenerla encendida hasta que se vuelva a dar una señal de inicializacion'
por tanto, el circuito del bloque 1 dispondrá de un sistemade inicializacion para probar
otro circuito y que ademásdesactivela lamparilla lr.
Circuito 2: Compara las salidasde los dos circuitos.
Circuito 3: Se activa en caso de que el circuito no estéen perfecto estado y permanecerá
encendidaaunque cambien las combinacionesde entrada hasta que se introduzca una señal
de inicialización.

Solución: El circuitodel bloqueI tendrásieteestados, puesla combinaciónde entrada100no deberá


darsenunca ya que en estecasose destruyenlos Por ello,el diagramade flujosdel sistemaes
circuitos.
el de la Figura7.58.

l,l01o

1,1011

tul11o lnl101

Figura 7.58. D i a g r a m ad e f l u j o s d e l g e n e r a d o rd e c ó d i g o s d e e n t r a d ad e l P r o b l e m a7 . 1 7'


336 E L E c r R o N r cD
Ar G r r A L

La Tabla 7.39 es la de estadosque correspondeal diagrama de flujos de la Figura 7.58.

Tabf a 7.39. T a b l a d e e s t a d o s d e l P r o b l e m a7 . 1 7

Estado presente X Estado futuro A B C

Io 0 Io 0 0 0
Io I Il 0 0 0
Ir 0 Ir 0 0 1
I1 I I2 0 0 1
I2 0 Iz 0 1 0
I2 1 I.-t
0 1 0
I.-t 0 I.-t
0 l l
I. -t
1 I4 0 1 1
I4 0 I4 1 0 1
I4 I Is 1 0 1
Is 0 Is I l 0
Is 1 I6 1 1 0
I6 0 I6 1 1 1
I6 I I6 1 1 1

Por haber sido elegido el modelo de Moore para la implementación del sistema,y para simplificar
el circuito de salida, se codihcan los estados internos de manera que coincidan con las salidas, por
tanto:
1o .-------------'
000 14 ---------------
l0l
11 ----------------'
001 Is ---------------
110
1z ---------------
010 16 -"'--"-'-+ lll
1. -------------+
011

En este caso se decide implementar el circuito con biestable tipo J-K, con lo que se puede realizar la
Tabla 7.40 donde ya se han sustituidolos estadosinternos por los códigos asignados.

T a b f a 7 . 4 O . T a b l a d e t r a n s i c i o n e sd e l P r o b l e m a7 . 1 7 .

Estado presente Estado futuro Salidas


X J2 K2 Jl Kr Jo Ka
Qzt¡ Qu,¡ Qrr,, Qztt+t'tQte+t) Qot,*r't A B C

0 0 0 0 0 0 0 0 x 0 x 0 x 0 0 0
0 0 0 I 0 0 1 0 x 0 x l x 0 0 0
0 0 1 0 0 0 1 0 x 0 x x 0 0 0 1
0 0 1 I 0 1 0 0 x 1 x x l 0 0 1
0 1 0 0 0 1 0 0 x x 0 0 x 0 1 0
0 1 0 I 0 1 1 0 x x 0 l x 0 1 0
0 1 1 0 0 1 1 0 x x 0 x 0 0 l l
0 1 1 1 1 0 1 l x x l x 0 0 1 1
l 0 l 0 1 0 1 x 0 0 x x 0 l 0 l
1 0 1 I 1 1 0 x 0 1 x x 1 1 0 1
1 1 0 0 1 1 0 x 0 x 0 0 x 1 1 0
1 1 0 1 1 1 1 x 0 x 0 1 x 1 1 0
l l l 0 t t l x 0 x 0 x 0 1 l l
t l 1 1 1 1 1 x 0 x 0 x 0 1 1 1
AUTOMATASFINITOS 337

Los mapas de Karnaugh para deducir las ecuacionesde interconexión de los biestablesJ-K y las
salidasson los que se muestran en la Figura 7.59.

2ooo 01 11 10

1
0

X
0

X
r;)
t l
I r l
0

X
a)

J.=O.,'Ao

ño, oo 01 11 10

0
Cl 0
d)
,l x 0 0

J.,:oo K',=Qo'4,

cooo 01 11 10

0
I ¡
0 X

1
I

[,, j 0 X

Jo:1 Ko=Q',

Figura 7.59. M a p a s d e K a r n a u g hp a r a e l c á l c u l o d e l a s f u n c i o n e s d e e n t r a d aa l o s b i e s t a b l e s
d e l P r o b l e m a7 . 1 7 .

El circuito correspondienteal bloque 1 será, por tanto, el de la Figura 7'60'


338 DAI G I T A L
ELECTRONIC

A las entradasdel circuitode pruebay patrón

Pulsadorde
inicialización

Figura 7.60. C i r c u i t od e l b l o q u e 1 d e l p r o b l e m a7 . 1 j .

El circuito dispone de una señal de Resetpara inicializar el sistemaponiendo todas las salidas a 0.
La lámpara L, de este circuito es un diodo Led y se pondrá a I cuando todas las salidas sean 1,
permaneciendoen este estado hasta que se active la señal de Reset,ya que el estado 111 es el Iu del
diagrama de flujos.
El circuito 2 puede realizarsecon un comparador del tipo 7485 conectado,tal y como se muestra en
la Figura 7.61.

AO
Circuito A1
patrón 42 A la entra
A3 bloque 3
BO
B1
B2
B3
A<B A<B
Circuito A=8 A=B
en prueba A>B A>B

F i g u r a 7 . 6 1 . C i r c u i t od e l b l o q u e2 d e l P r o b l e m 7
a. 1 7 .

El circuito 3 debe mantener una información hasta que se inicialice el sistema,para lo cual puede
emplearseel circuito de la Figura7.62. Cuando en la entrada Ahay un 0 y previamentese ha activado
A U T O M A T A SF I N I T O S 339

el pulsador de inicializacion,a la salida de la puerta OR hay un 0. Cuando se pone a 1 la entrada A ala


salida de la puerta OR, apareceun 1 que se realimenta a través de la entrada B, de tal manera que si la
entrada A pasa a valer de nuevo 0 la salida de la puerta OR seguirá siendo un 1.

Del bloque 2

Delpulsador
inicialización

Figura 7.62. C i r c u i t o b l o q u e 3 d e l P r o b l e m a7 . 1 7 .

7.18. Diseñar un circuito secuencialsíncrono que disponga de una entrada X sincronizadapor


una señal de reloj y una salida S, de tal manera que si por X se introduce un número de
cuatro bits comenzando por el bit de menor peso,a su salida se obtenga el complemento a 2
de dicho número de forma simultáneacon éste.Ademásel circuito deberáquedar preparado
para recibir otro número cuando termine de realizar el complemento del anterior.

Solución: El circuito corresponderá a un diagrama de bloques similar al que se muestra en el


diagrama de bloques de la Figura7.63, en el que se muestra la entrada X, la salida S y la entrada de
sincronismo C,.

Circuito
complementador
a 2

a e b l o q u e sd e l c i r c u i t oc o m p l e m e n t a dao r2 ( P r o b l e m 7
F i g u r a 7 . 6 3 . D i a g r a md a. 1 8 )

Para realizar el complementado a 2 de un número binario, en primer lugar se realiza el complemen-


to a 1 d.elnúmero (cambian los 0 por los 1 y los I por los 0) y seguidamentese le suma l; así por
ejemplo:
340 DAI G I T A L
ELECTRONIC

0 1 0 0_ + 1 0 1 1 (-- Complemento a l
_r1
I 1 0 0 - *- Complemento a 2

01t0 --------------1001 F- Complemento a l


+ 1
r ol o (- Complemento a 2

También, como puede verse, pafa realizar el complemento a 2 de un número binario de forma
metódica se puede hacer de la siguiente manera:
Empezando por el bit de menor peso y desplazándosehacia el de mayor (de derechaa izquierda),si
el bit es un 0 se queda como está, y cuando nos encontramos con el primer 1, éste se queda también
como está, pero a partir de este bit en adelante se cambian los 0 por 1 y los 1 por 0.
Teniendo en cuenta esto, y sabiendo que los datos están sincronizados por la señal de reloj, se
puede plantear el siguiente diagrama de flujos del circuito (Fig. 7.64).

o
/ o 5
o'/ 1\
o\/
(t +t \\
t¡\

s;
+t
\ \
o\
t¡\
\(¡
\ o

Fi g u ra 7 .6 4 . D i a g ra mad e fl uj osdel ci rcui togeneradordel compl emento a 2 de un número


d e c u a t r ob i t s ( P r o b l e m 7
a. 1 8 ) .

La Tabla 7.41 es la de estadoscorrespondienteal diagrama de flujos de la Figura7.63.


A U T O M A T A SF I N I T O S 341

Tabla 7.41. T a b l a d e e s t a d o sd e l P r o b l e m a7 . 1 8

Salida
Estado presente X Estadofuturo
,s
Io 0 I1 0
Io 1 I4 1
Il 0 I2 0
Ir I Is I
I2 0 I3 0
I2 I I6 I
I3 0 Io 0
I3 I Io 1
IN 0 I.f
I
T, I I .) 0
I.) 0 I6 I
Is I I6 0
I6 0 Io I
I6 I Io 0

Seguidamentese codifican los cinco estadosinternos del autóm ata, para lo que necesitaremostres
bits. Por tanto, se realizan las siguientesasignaciones:

1o --+ 000 1¿ - 100


1r .------------001 /s ----------------+
101
1z .-.--.---------
010 16 -+ 110
1. ------------+
011

En este caso se decide implementar el circuito con biestablesdel tipo J-K, por lo que se puede
realizarla Tabla 7.42 donde ya se han sustituido los estadosinternos por los códigos asignados.

Tabla 7.42. T a b l a d e t r a n s i c i o n e sd e l P r o b l e m a7 1 8

Estado presente Entradas Estado futuro Salida


J2 K2 Jr Kr Jo Ko
Qz<,t Qu,¡ Quot X Qztt+t¡ Qt(,*t) Qo<t+t¡ .S

0 0 0 0 0 0 1 ( r x 0 x 1 x 0
0 0 0 1 1 0 0 1 x t.l x 0 x 1
0 0 1 0 0 1 0 I ' X l x x l 0
0 0 1 I 1 0 1 l x 0 x x 0 I
0 1 0 0 0 1 1 0 x x 0 1 x 0
0 1 0 I 1 0 1 1 x x l 1 x I
0 r r 0 0 0 0 0 x x l x l 0
0 1 1 I 0 0 0 0 x x 1 x. 1 I
1 0 0 0 1 0 1 x 0 0 x 1 x I
1 0 0 I 1 0 1 x 0 0 x 1 x 0
1 0 1 0 1 1 0 x 0 1 x x l I
1 0 1 1 r l 0 x 0 1 x x l 0
1 1 0 0 0 0 0 x l x l 0 x 1
1 1 0 I 0 0 0 x l x 1 0 x 0
342 E L E c r R o N t c AD t c t r A L

Los mapas de Karnaugh para deducir las ecuacionesde interconexión de los biestables
./-K y las
salidasson los que se muestran en la Figura 7.65.

o r Q1
3"" oo 01 11 10 3"xoo
00

01
a)
11

10

X : X . 1o" + o,¡
J r=d o 'r ¡ Ó.,. K,:Q,

Q, Q,
3'x oo o, Q,,9"r
oo

J,,=Qo'X + Q". Qo=Qo. 1X+ Or¡ Kr:Ar+X+go

aro
x00 01 11 10
Q, O,, 3't oo
00 \, 0 X (y
01 C 1 X
D e)
11 0 0 X X

10 o 1 X
T}
J o : Q r ' A r + A r ' O . , * Ó , ,. , Kr:Q',+Qr+X
Jo=Qr@Q.,+Qr.X

oo
x00 01 11 10
QrA
00 0 T i) 0
01 0
k ü 0
s)
11 1l 0 X (^
10
t 0 0 t_
s:d. .x+02.X:o,gx

F i g u r a 7 . 6 5 . M a p a s d e K a r n a u g hp a r a l a o b t e n c i ó n d e l a s f u n c i o n e s d e e n t r a d ay s a l i d a
de
l o s b i e s t a b l e sd e l c i r c u i t o ( p r o b l e m a7 . 1 9 ) .
A U T O M A T A SF I N I T O S 343

El circuito queda como se muestra en la Figura 7.66.

S a l i d ad e l
n ú m e r od e 4 b i t s e n
X C* (Reloj) complementoa 2
Entrada 7486
d e 4 b i ts
delnúm e ro
F i g u r a 7 . 6 6 . R e s u l t a ddoe l P r o b l e m 7a . 1 8 .

-/0,de
7.1g. Diseñar un circuito secuencialsíncrono que evolucionea partir de un estado inicial,
forma que cuando haya acabadode recibir dos unos consecutivospor una línea de entrada
siguientesque reciba,
X se obt.ngu por una línea de salida S el complementode los dos bits
y al mismoli..npo que los ha recibido. El sistema permaneceráen un estado final I t dando
salida cero, hastá que se inicialice de nuevo el sistema mediante una señal de reset externa.

los dos 1
Solución: Como se pide que las salidas de los bits complementadosque llegan despuésde
consecutivostienen qu. upu...er al mismo tiempo que se reciben, habrá que desarrollar el autómata
por el método de Mealy.
El diagrama de flujos del sistemapuede ser el que se muestra en la Figura7.67-

x:0/s=0

¡=1/S=0

X=0/S=0 x:1ls:o

Figura 7.67. D i a g r a m a d e f l u j o s d e l d e t e c t o r y g e n e r a d o rd e c o m p l e m e n t o d e l o s d o s b i t s
s i g u i e n t e sq u e r e c i b a ( P r o b l e m a7 . 1 9 ) .
344 E L E c r R o N r cD
At c t r A L

La Tabla 7.43 es la de estadoscorrespondienteal diagrama de flujos de la Figura7.67.

Tabla 7.43. T a b l a d e e s t a d o s d e l P r o b l e m a7 . 1 9

Estado presente X Estado futuro ^s


Io 0 I^ 0
Io I Ir 0
IL 0 Io 0
Ir I I2 0
I2 0 I3 I
I2 I I3 0
I3 0 Ir 1
I3 1 Ir 0
Il 0 If 0
Ir I If 0

Seguidamentese codificanlos cuatro estadosinternos del autómafapara lo que necesitaremos


tres
bits. Por tanto, se realizan las siguientesasignaciones:

/o-000 /:-011
1r - 001 1r -----+ 100
1z- 010

En este caso, se decide implementar el circuito con biestablesdel tipo J-K, por lo que se puede
realizar la Tabla 7.44 donde ya se han sustituido los estados internos por los códigos asignados.

T a b l a 7 . 4 4 . T a b l ad e t r a n s i c i o n edse l P r o b l e m 7
a. 1 9

Estado presente Entrada Estadofuturo Salida


J2 K2 Jr Kl Jo ro
Qru', Qru¡ Qnvt X Qz<t+tlQIG*D Quu*tt .s
0 0 0 (, 0 0 0 0 x 0 x 0 x 0
0 0 0 I 0 0 1 0 x 0 x l x 0
0 0 1 0 0 0 0 0 x 0 x x l 0
0 0 1 1 0 1 0 0 x l x x 1 0
0 1 0 0 0 1 l 0 x x 0 l x I
0 1 0 I 0 1 l 0 x x 0 1 x 0
0 l l 0 I 0 0 1 x x l x l I
0 1 1 1 I 0 0 l x x 1 x l 0
'l
1 0 0 0 0 0 x 0 0 x U X 0
1 0 0 I I 0 0 x 0 0 x 0 x 0

Los mapas de Karnaugh para deducir las ecuacionesde interconexión de los biestables-/-K y las
salidasson los que se muestran en la Figura 7.68.
A U T O M A T A SF I N I T O S 345

oo
x00 01 11 10
arQ
00 0 0 0 0

01 0 0 r I a) b)
11 X x
t¡ t
10 X X X X

Jr:Ar'Qo Kr=0

d)

K',=Ao

Jo=Or+Or'Y Ko:1

o )

o.a 00 01 11 10
00 0 0 0 0
01 I 0 0 Í- g)
11 r l X X
u_
10 0 0 X X

s:o,'X

F i g u r a 7 . 6 8 . M a p a sd e K a r n a u g h p a r a e l c á l c u l o d e l a s f u n c i o n e s d e e n t r a d a y s a l i d a d e l o s
b i e s t a b l e s( P r o b l e m a7 . 19 ) .
346 E L E C T R O N I CDAI G I T A L

El circuito queda como se muestra en la Figura 7.69.

1408
1

Reloj C* X Entradade bits a complementar

Figura 7.69. Resultado del Problema 7.19.

7.20. Se desea realizar el receptor de una cerradura codificada mediante una serie de datos
codificados previamente (11011).Dicho receptor estará realizad,omediante un autómata
síncrono con una única entrada X por la que llegan los bits seriey una salida S que será I
cuando se reciba la secuenciadeseada.
La secuenciapuede llegar en cualquier momento y una vez que la salida se hace I el
circuito retorna a su estado inicial (Fig. 7.70).

ck

Figura 7.7O. Diagrama de bloques del detector de secuencia (Problema 7.20).

solución: Suponiendo que la señal que llega al receptor se encuentra sincronizadacon el reloj interno
del sistema,el diagrama de flujos del circuito detector de la secuencia1 1 0 1 1e s e l q u e s e m u e s t r ae n l a
Figura 7.71.

La Tabla 7.45 de estadoscorrespondienteal diagrama de flujos de la Figura 7.71.


A U T O M A T A SF I N I T O S 347

x=0/s:0 x:0/s=0 x = 1I S = 1

x:0/s:0
x:0/s:0
¡=g/S=0
x : 1Is = 1

Figura 7.71. D i a g r a m ad e f l u j o s d e l c i r c u i t o d e t e c t o r d e l a s e c u e n c i a1 1 0 1 1 e n e l P r o b l e -
ma 7.20

Tabla 7.45. T a b l a d e e s t a d o s d e l P r o b l e m a7 . 2 0

Salida
Estado presente X Estadofuturo
,s
Io 0 1,, 0
Io I I1 0
I\ 0 Io 0
I1 I I2 0
I2 0 I- 0
I2 I I2 0
T--1
0 Io 0
I-J
I I4 0
I^ 0 Io 0
I1 I Io 1

A continuaciónse codifrcanlos cinco estadosinternosdel autómata,para lo que necesitaremos


dos
bits. Se realizan las siguientesasignaciones:
1o ------.-.-_ 000 1¡--.---------011
1r ----------* 001 /o ----------------
100
010
/z----------------*

En este caso se decide implementar el circuito con biestablesdel tipo J-K, por lo que se puede
realizarla Tabla 7.46 donde ya se han sustituido los estadosinternos por los códigos asignados.
T a b fa 7 . 4 6 . T a b l a d e t r a n s i c i o n e sd e l P r o b l e m a7 ' 2 O

Estadopresente Entradas Estado futuro Salida


Jz K2 Jr Kr Jo Ko
X X
Qx¡ Qrr,¡ Qnvt Qzr,*tl Qto+t) Qu(*rr

0 0 0 0 0 0 0 0 x 0 x 0 x t,
0 0 0 1 0 0 1 0 x 0 x l x 0
0 0 1 0 0 0 0 0 x 0 x x 1 0
0 0 1 1 0 1 0 0 x 1 x x l 0
0 1 0 0 0 1 1 0 x x 0 l x 0
0 1 0 1 0 1 0 0 x x 0 0 x 0
0 l l 0 0 0 0 0 x x l x l 0
0 1 1 I 1 0 0 1 x x l x l 0
1 0 0 0 0 0 0 x l 0 x 0 x 0
1 0 0 1 0 0 0 x l 0 x 0 x I
348 E L E c r R o N t c AD t G t r A L

Los mapas de Karnaugh para deducir las ecuacionesde interconexión de los biestables,/-K y las
salidas son los que se muestran en la Figura 7.72.

oo
a2 o ñ oo 01 11 10 orÑ
00 0 0 0 0 00

01 0 0 f') 0
a)
01

11 X
U x 11

10 X X X 10

Jr:Ao'Ar'X Kr:1

X 3"^

d)

Jr=Oo'X K't:Qo

on
'-- X
o"
o, Q,: X 00

Jo=Or.A.,'x+a.,.X Ko:1

ao
a2 o Y
oo 01 11 10
00 0 0 0 0
01 0 0 0 0
s)
11 F- ¡ X

10 0 tL_ ¿ X

s:Qr'X

Figura 7.72. M a p a s d e K a r n a u g h p a r a l a o b t e n c i ó n d e l a s f u n c i o n e s d e e n t r a d ay s a l i d a d e l
a u t ó m a t a d e l P r o b l e m a7 . 2 O .
A U T O M A T A SF I N I T O S 349

Por tanto, el circuito queda como se muestra en la Figural.73.

(Reloj)

-1.2O.
del P robl ema
F i g u ra 7 .7 3. R esul tado

7.21. Se deseadiseñar un circuito secuencialpara implementar una máquina de venta automática


de cajasde cerillas.Se supone que la caja de cerillascuesta7 ptas. y que se pueden introducir
monedas de 1 pta. y de 5 ptas. indistintamente y en cualquier orden.
El circuito deberá tener dos salidas S, y ^S,las cuales tienen las siguientesfunciones:

. S,,si la máquina tiene que entregarla caja de cerillas;esta salida será 1.


. Sz indicará en binario el número de pesetasa devolver cuando se hayan introducido
más de 7 ptas.

Así, por ejemplo, si habiendo introducido en la máquina la cantidad de 3 ptas. se


introduce posteriormenteuna moneda de 5 ptas.;la máquina debe devolver 1 pta., entregar
la caja de cerillas y quedarsea la esperade que se le empiezena introducir nuevas monedas
para adquirir otra caja de cerillas.

Solución: En primer lugar se realiza el diagrama de flujos: en el diagrama de la Figura 1.74 el


sisnificado de las variables es el sieuiente:

o X : I se ha introducido 1 pta.
o X : 0 se ha introducido una moneda de 5 ptas.
. S, : 0 no dispensacaja de cerillas.
. S, : I se dispensauna caja de cerillas.
. ,S, : indica el número de pesetasa devolver en binario.
350 DIGITAL

x:0/s,:1/.s,:01
0
!=0r",vQ=ooo

S ,= 0
s.=ooo
X = 0 1 5 . , 1=/ S r = 0 '0l
X:O/S, : 1 / S r = 10 0

{¡s^=tls.-

Figura 7'74' D i a g r a m ad e f l u j o s d e l a m á q u i n a e x p e n d e d o r ad e c a l a s
d e c e r i l l a sd e l p r o -
b l e m a7 . 2 1 .

De acuerdo con el diagrama de la Figura 7.74,se puede


escribir la Tabla 7.47 correspondientea
los estadospor los que pasa el autómata finito.

T a b l a 7 .47. Tabl ade estadosdel probl ema7.21

Estado presente X Estadofuturo ,SI s,


Io 0 I, 0 0 0 0
Io I Ir 0 0 0 0
I. 0 I6 0 0 0 0
Il I I2 0 0 0 0
I2 0 I^ I 0 0 0
I2 I T"-t 0 0 0 0
I.-t 0 Io 1
I 0 0 1
I3 1 I4 0 0 0 0
I1 0 Io 1 0 1 0
I4 I I .) 0 0 0 0
I5 0 Io I 0 1 1
I .) 1 I6 0 0 0 0
I.
o 0 Io I 1 0 0
.l
I6 Io I 0 0 0

A continuación se realiza la asignaciónde códigos binarios a los


estadosrnternos.Como hay siete
e s t a d o sd i s t i n t o ss e n e c e s i t a npor
. los menos,tres bits para codificarlos.

1o - 000
1, - 001
1z- 010
1¡ -_ 0ll
A U T O M A T A SF I N I T O S 351

14 + 100
Is ----------------
101
/ó -----' 110

En este momento se decide que la implementación se hará con biestablesdel tipo J-K, por tanto,
sustituyendo los estados de codificación en la tabla de estados y ampliando ésta con la tabla de
excitación de los biestables./-K se obtiene la Tabla 7.48.

Tabfa 7.48. T a b l a d e t r a n s i c i o n e sd e l P r o b l e m a 7 . 2 1

Estadopresente Estadofuturo Salida


X s, A B C
J2 K2 Jr Kl ro Ko
Qzu¡ Qu,¡ Qot¡ Qz(t+t\ Qto+t) Qot*tl

0 0 0 0 1 0 1 0 0 0 0 1 x 0 x l x
0 0 0 I 0 0 1 0 0 0 0 0 x 0 x 1 x
0 0 1 0 1 1 0 0 0 0 0 1 x 1 x x l
0 0 1 1 0 1 0 0 0 0 0 0 x 1 x x l
0 1 0 0 0 0 0 I 0 0 0 0 x x l 0 x
0 1 0 1 0 1 1 0 0 0 0 0 x x 0 l x
0 1 1 0 0 0 0 I 0 0 1 0 x x l x l
0 1 1 I 1 0 0 0 0 0 0 1 x x l x l
1 0 0 0 0 0 0 1 0 1 0 x l 0 x 0 x
1 0 0 I 1 0 1 0 0 0 0 x 0 0 x 1 x
l 0 l 0 0 0 0 I 0 1 1 x l 0 x x 1
1 0 1 1 1 1 0 0 0 0 0 x 0 1 x x 1
1 1 0 0 0 0 0 1 1 0 0 x 1 x 1 0 x
1 1 0 I 0 0 0 I 0 0 0 x l x 1 0 x

Los mapas de Karnaugh para deducir las ecuacionesde interconexión de los biestables.I-K y las
salidasson los que se muestran en la Figura 7.75.

o^
Q, Q''
3""00 o, ,:Jg
00

01
a)
11

10

Jr=4.,'X+O1'Oo'X Kr:O''+X

Figura 7.75. M a p a s d e K a r n a u g hp a r a e l c á l c u l o d e l a s f u n c i o n e sd e e n t r a d ay s a l i d ad e l o s
b i e s t a b l e sd e l P r o b l e m a7 . 2 1 .
352 ELECTRONIC
DAI G I T A L

o^l a"
ñxI00 a , 4 , ,r - X 00
Q, Q,

Jr:Qo.X + Az:Ao K.,:Oo¡ Or+ X: Ao'Q"'X

o2 o S _ J o o
01 11- 10 a, o, 3""oo
00 C 1 xl
3
01 0 k-_ "J X
e)
11 0 0 x X

10 0 C "l X

Jo:Ar'Ar+Qr'X+At'X = Ko:1
-..- - --
Jo:Ar'Or+Q;X'Q.,'X

oo 01 11 10 oo 01 11 10
a, o \ x a. , ñ
00 0 0 0 0 00 0 0 0 0

01 i) 0 0 r s)
01 0 0 0 0
h)
11 1 1 X 11 3 0 X
C
10 ü 0 0 tL 10 0 0 0 0

s,,= O r Q , + A r ' X + 4 , , ' X St:A.'A.,'*

s,= 4 . ,a' r ' a r ' X ' o . ,X'

0
01 11 10 oX00 01 11 10
x00
arQ arQ I

00 0 0 0 0 00 0 0 0 0

01 0 0 0 0 01 0 0 0 n
g
11 0 0 X 11 0 0 X

10 _D 0 0 C 10 0 0 0 U
S a" : O ., ''O
O.., ,' 'XX Sc:o, 'Oo'*+A','Qo'X
S c : O o' X ' l A r + Q , ¡

Figura 7.75. M a p a s d e K a r n a u g hp a r a e l c á l c u l o d e l a s f u n c i o n e sd e e n t r a d ay s a l i d ad e l o s
biestablesdel Problema 7 .21. (Continuación) '
A U T O M A T A SF I N I T O S 353

Por tanto, el circuito queda como se muestra en la FiguraT.l6

F i g u r a 7 . 7 6 . R e s u l t a ddoe l P r o b l e m 7
a. 2 1 .

7.22. Un circuito de alarma que controla la entrada de una puerta lo realizamediante un circuito
electrónico que sigue el diagrama de bloques de la frgura

Reset

Circuito de ataque
a dispositivo
a c ú s t i c o -l u m i n o s o

F i g u ra 7 .7 7 . D i a g ra m ad e bl oquesdel si stemade al armadel P robl ema7.22.

El bloque I es un dispositivo que proporciona un impulso de corto tiempo cada vez que
se abre o cierra una puerta (se supone que este bloque está ya realizado).
354 E L E c r R o N l cD
Al G l r A L

por segunda vez la


El bloque -B es un circuito de control que detecta que se ha abierto
puerta, ¿ando una salida de valor 1 y quedando bloqueada hasta que se vuelve a inicializar
con una entrada de Reset el sistema.
Por su parte, el circuito de ataque al dispositivo acústico-luminosoincorpora un tempo-
rizador de tal forma que si en un tiempo prudencial no se ha activado el Resetdel bloque ^B
se pone en marcha el sistemaacústico-luminoso.
Realizar el bloque B empleando para ello biestables del tipo "r-K.

Solución: En esta ocasión se realizará mediante los modelos de Mealy y Moore pudiéndosecomparar
de esta manera los dos. El diagrama de flujos para el modelo de Moore es el que se muestra en la
Fisura 7.78.

X=0
X:1

Figura 7.78. D i a g r a m ad e f l u j o s d e l s i s t e m a d e a l a r m a d e a c u e r d o c o n e l m o d e l o d e M o o r e
d e l P r o b l e m a7 . 2 2 .

Como se puede apreciar en este modelo, las salidas del circuito se han dibujado en el interior del
círculo correspondienteal estado interno indicando de esta manera que mientras que el autómata se
encuentra en un estado determinado la salida que se obtiene es la que corresponde a dicho estado
independientementedel valor de X, y se seguirá obteniendo dicho valor hasta que el autómata no se
encuentre en el estado siguiente.
El diagrama de flujos para el modelo de Mealy es el que se muestra en la Figura7.79.

x:0/s:0 x:0/s:0

x:1/s:0

x:1/s:0

x:1 |
/ s =1 x = 1I S : 1
x:01 x:1/s:0

Figura 7.79. D i a g r a m ad e f l u j o s d e l s i s t e m a d e a l a r m a d e a c u e r d o c o n e l m o d e l o d e M e a l y
d e l P r o b l e m a7 . 2 2 .
A U T O M A T A SF I N I T O S 355

En este caso las salidas se representan fuera del círculo que representa el estado interno del
aun
autómata indicándosede esta forma que en el momento en que aparecela señal X cambia la salida
cuando se encuentre el autómata en el estado de transición.
La tabla de estadospara estosdos modelos será laTabla 7.49.

T a b l a 7 .4 9 . Tabl ade estadosdel P robl ema7 ' 22

Estadopresente X Estadofuturo SalidaMoore SalidaMealy

Io 0 Io 0 0
Io 1 Il 0 0
Il 0 I1 0 0
Ir I I2 0 0
I2 0 I2 0 0
I2 1 I"-t 0 1
I.-t (.1 I. I 1
1 I" I 1
I"

realizando la siguiente
Como hay cuatro estados,para poder codificarlos harán falta dos bits,
asignación de estados:

10 --.- 00
1r ---------------'
0l
I, -- -' l0
1. ---+ 1I

la tabla de excitación
Sustituyendoestascodihcacionesen la tabla de estadosy ampliando éstacon
de los biestables./-K se obtiene la Tabla 7.50.

a' 2 2
T a b l a 7 . 5 0 . T a b l ad e t r a n s i c i o n edse l P r o b l e m 7

Estado presente Estado futuro Kr Jo Ko


X Salida Moore Salida Mealy Jl
Qto Qoo Qtu+tt Qo6+r¡

0 0 0 0 0 0 0 0 X 0 X

0 0 1 0 1 0 0 0 X 1 X

0 I 0 0 1 0 0 0 X X 0
0 I 1 I 0 0 0 1 X X 1
I 0 0 1 0 0 0 0 0
I 0 I 1 I 0 I X 0 I X

I
.l
0 1 I 1 1 X 0 X 0
.l
1 I 1 1 1 I X 0 X 0
E L E C T R O N I CDAI G I T A L

Como se puede apreciar, tanto en la Tabl a 7.50 como en los dos diagramas de estados (Moore y
Mealy) para pasar de un estado a otro siempre se cumple que X : 1, mientras que cuando X : 0 no
hay transición a otro estado, por tanto, en los mapas de Karnaugh para obtener las funciones de
interconexión se puede eliminar la variable X ya que ésta será siempre I y se deberá conectar la
entrada X a la entrada de reloj que en cada momento es un impulso generado por el bloque ,4.

De acuerdo con esto los mapas de Karnaugh de interconexión y de las salidas serán los que se
muestran en la figura 7.80.

\o,
' )
o
0 1 0 1

'l
1

0
r;)
t l
a)
0 x X

b)
1 l X
(v 1 0 0

Jr=Oo K,,:O

o
o, 0 1

1
el
X 0
d)

Ko=Q',

oo
x
00 01 11 10

0 0 0 0 0

1 0
e !) D
S. Moore: Or.Ao S. Mealy- Ar'X + O, . Oo

F i g u r a 7 . 2 O . M a p a s d e K a r n a u g h p a r a l a o b t e n c i ó n d e l a s f u n c i o n e s d e e n t r a d ay s a l i d a d e
los biestables del Problema 7 . 2 2 .

Por tanto, el circuito correspondiente al bloque B será el de la Figura 7.81, en el que se han
representadotanto la salida del modelo de Mealv como la del modelo de Moore.
A U T O M A T A SF I N I T O S 357

Salida
Mealy

Salida Moore

Entrada
al bloque I
X

7476

Figura 7.81. C i r c u i t oc o r r e s p o n d i e n tael b l o q u e I d e l d i a g r a m ad e b l o q u e sd e l a F i g u r a7 . 7 7'

P R O B L E M A SP R O P U E S T O S

7.23. Si al circuito correspondienteal diagrama de flujos de la Figura7.82a) se le introduce una señal como
la Figura 7.82b)por la entrada X sincronizada con la señal de reloj, ¿qué señal se obtiene a la salida?

X :0/S = 0

q
x=0/s:0
\ x

x=1ls:o
x=0/s:0

x=0/s=0
V
Entrada
de reloj ¿ b )

F i g u r a 7 . 8 2 . E n u n c i a d do e l P r o b l e m 7
a. 2 3 .
358 E L E C T R o N tD
cAtGtrAL

Solución:

V
Entrada
de reloj

Salida

F i g u r a 7 . 8 3 . R e s u l t a ddoe l P r o b l e m 7
a.23.

7.24. Obtener la tabla de transicióndel diagramade flujosde la Figura7.82a)


Solución:
T a b l a 7.51. R esul tado
del P robl ema
7.24.

Estado Entrada Estado


Salida
actual X futuro

Io 0 Io 0
Io 1 Il 0
Il 0 I1 0
I1 1 I2 0
I2 0 I2 0
I2 I I1 0
I3 0 I3 0
I1 1 I4 0
I4 0 I4 0
I4 1 I ,., 1

7.25. Si en el circuito correspondienteal diagrama de flujos de la Figura 7.84a)se introduce la señal 7.84b,\
por la entrada X sincronizadacon la señal de reloj, ¿qué señal se obtiene a la salida del circuito?

x=0
Señal
de reloj

X:O
F i g u r a 7 . 8 4 . E n u n c i a d od e l P r o b l e m a7 . 2 5 .
A U T O M A T A SF I N I T O S 359

Solución:

Salida

Figura 7.85. R e s u l t a d od e l p r o b l e m a7 ' 2 5 '

7.26. Obtener la tabla de estadosdel diagrama de flujos de la Figural'84a)'

Solución:
del P robl ema7 ' 26-
T a b l a 7 .5 2 . R esul tado

Estado Entrada Estado


Salida
actual X futuro

Io 0 Io I
1
Io I I1 I

I1 0 Il 0
Il 1 I2 0
I2 0 I2 0
I2 I I3 0
I3 0 I3 0
I3 1 I4 0
I^ 0 I4 0
I4 I Io 0

7.27. Obtener la tabla de estadosdel diagrama de flujos de la Figura 7'8ó'

x=1 . Y X:1
to l o 0 l.,l01
)
\ , /

x:0 \
I
I T
ffi
Figura 7.86'
{ \
(')

-l
x=o

E n u n c i a d od e l P r o b l e m a ' 2 7'
360 E L E c r R o N t cD
At G t r A L

Solución:
Tabfa 7.53. R e s u l t a d od e l P r o b l e m a7 . 2 - l

Estado Entrada Estado


Salida
actual X futuro

Io 0 I1 0 0
Io I Il 0 0
Il 0 I3 0 1
I1 1 Il 0 1
I2 0 I2 I 0
I2 I Io I 0
I3 0 I3 1 I
I3 I I2 I I

7.28. Obtener la tabla de excitacióndel diagrama de flujos de la Figura7.86 si se pretendeimplementar el


circuito con biestablesdel tipo J-K.

Solución:
Tabla 7.54. R e s u l t a d od e l p r o b l e m a T . 2 g

Estado actual Estado futuro


X Jl Kr Jo Ko ^tl .so
Qro¡ Qoot Qt$+t¡ Qoo*tt

0 0 0 I 1 I X I X 0 0
0 0 I 0 I 0 X 1 X 0 0
0 I 0 1
I I 1 X X 0 0 I
0 I 1 0 I 0 X X 0 0 I
I 0 0 I 0 X 0 0 X 1 0
I 0 I 0 0 X I 0 X 1 0
I 1 0 I 1 X 0 X 0 I I
I I 1 I 0 X 0 X I I I

7.29. Obtcner la tabla de excitacióndel problema anterior si se utilizan biestablesdel tipo L

Solución:
Tabla 7.bs. R e s u l t a d od e l p r o b l em a 7 . 2 g

Estado actual Estado futuro


X Tt To ^Sr so
Qrr,, Qot,t Q¡(t+t) Qoo+t)

0 0 0 t
I I 1 1
I 0 0
0 0 I 0 1 0 I 0 0
0 I 0 I I I 0 0 1
0 I I 0 I 0 0 0 l
I 0 0 1 0 0 0 l 0
I 0 1
0 0 I 0 l 0
I I 0 I I 0 0 1 l
I I I I 0 0 I 1 l
A U T O M A T A SF I N I T O S 361

7.30. Obtener la tabla de excitación correspondienteal diagrama de flujos de la Figura 7.81 si se emplea
para su implementación un biestable genérico cuya tabla de verdad es la que se muestra en la
Tabla 7.56.

l''10 l,l0

x=1

F i g u r a7 . 8 7 .
D i a g r a m da e f l u j o s .

Tabla 7.56. Tabla de verdad


d e u n b i e s t a b l eg e n é r i c o ( P r o b l e m a7 . 3 0 )

X Y Qt,*rt

0 0 Q,
0 1 0
I 0 I
I

Solución: ,|
1 Q,

T a b fa 7 . 5 7 . R e s u l t a d od e l P r o b l e m a7 . 3 0

Estado actual Estado futuro


X x2 Y2 Xr Yl xo Yo s
Qa,t Qto¡ Qrr,, Qztt+tl Qte*t) Quo*tl

0 0 0 0 0 0 0 x l x l x 1 0
0 0 0 1 0 0 1 x 1 x l x 0 0
0 0 1 0 0 0 1 X I x l l x 0
0 0 1 I 0 1 0 x l x 0 0 x 0
0 1 0 0 r 0 1 x 0 0 x x 0 0
0 1 0 I 0 l l x l l x x 0 0
0 l l 0 1 0 0 x 0 0 x 0 x I
I

0 l l I 0 l l x l l x l x I

1 0 0 0 1 0 0 1 x x l x l 1
1 0 0 I t 0 l l x x l x 0 I
t 0 l 0 1 0 1 l x x 1 l x I
l 0 l I 0 l l 0 x x 0 l x I
362 E L E C T R rocNA D r c r r A L

7 . 3 1 . ( t ¡ I t s t r u i r l a t a b l a d e e x c i t a c i ó nd e u n c o n t a d o r b i n a r i o d e t r e s b i t s u t i l i z a n d o p a r a s u r e a l i z a c i ó n
b r r e s t a b l et isp o L

Solución:
I es la señal de control de sentido de cuenta.Con A : 0 d e c r e m e n t ay c o n A : I incrementa.
B es el ¿lcarreode arrastre decreciente.
C es el arrastrede sentido creciente.

Tabla 7.58. R e s u l t a d od e l P r o b l e m a7 . 3 1

Control Estado actual Estado futuro Acarreo


T2 Tr To
A Qrot Qtu¡ Qot¡ Qzv+tl Qr(,*r) Qq,*rt c(+) B(-)
0 0 0 0 I I I I I 0 1
0 0 0 1 0 0 0 0 0 0 0
q)
0 U I 0 0 0 1 0 I 0 0
J A )
0 0 I I 0 1 0 0 0 0 0
f
r r
(.)
O
0 I 0 0 0 I I 1 I 0 0
() 0 I 0 I I 0 0 0 0 0 0
0 I I 0 I 0 1 0 1 0 0
,|
0 I I I I 0 0 0 0 0
r
I
1 0 0 0 0 0 I 0 0 0 0
I
0 ) l
I 0 0 I
t 0 I 0 0 I 0 0
I 0 1 0 0 I I 0 0 0 0
q.¡ X I 0 I 1 I 0 0 I I 0 0
I I 0 0 I 0 I 0 o 0 0
e?i i
€ l I I 0 I 1 I 0 0 1 0 0
II 1 I I 0 I I I 0 0 0 0
t 1 1 I I 0 0 0 1 1 I 0
A U T O M A T A SF I N I T O S 363

7.32. Construir la tabla de transición y control para el diseño de un registro de desplazamientobidrreccro-


nal de dos bits mediante biestablestipo 7 síncronos.

Solución:

R: Control de desplazamiento
ED: Entrada de datos
SR: Salida de datos

-1.32
T a b fa 7 . 5 9 . R e s u l t a d od e l P r o b l e m a

Estados Estados Entradas


Control
actuales futuros biestables

R ED Qtot Qro Qrt,*r) Qztt+t) Tr T2 SD

r
I
0 0 0 0 0 0 0 0 0
Y I 0 0 0 1 l 0 1 l 0
q ) d l 0 0 l 0 0 0 1 0 I
0 0 l l 1 0 0 1 1
d 0 )
ñ ' = I 0 I 0 0 0 1 0 l 0
Q N I 0 I 0 1 t 1 l 0 0
q ) lI '|
0 1 0 0 1 1 1 1
IL 0 0 1
0 1 l l t l
( I 0 0 0 0 0 0 0 0
I ,|
o l 1 0 0 l 0 0 0 l ¡
(.) | I 0 l 0 0 l l 1 0
tr; I 1 0 l 1 0 1 l 0 I
F lv ? t l
j
N
( ) t 1 I 0 0 l 0 1 0 0
o"!
u )
|
l 1 1 0 1 1 0 l l I
1 I l 0 1 1 0 l 0
L 1 I l 1 l l 0 0 I

Variables Variables
entrada salida
364 E L E C T R O N I CDAI G I T A L

7.33. Diseñar un contador de décadassíncronocon biestables-r-K.

Solución:

Clock

Figura 7 . 8 8 . R e s u l t a ddoe l P r o b l e m 7
a. 3 3 .

También podría gustarte