Está en la página 1de 4

Prctica # 1 Compuertas Lgicas Bsicas

En esta prctica realizaremos el primer proyecto con el software ispLEVER, implementando una serie de circuitos bsicos que usan compuertas. El objetivo de esta prctica es familiarizarse con el sistema de desarrollo de ispLEVER y adems conocer la estructura bsica de los proyectos realizados con el lenguaje VHDL, por lo cual los circuitos son muy sencillos y solo pretenden dar a conocer los elementos elementales para el desarrollo de sistemas electrnicos. Posteriormente analizaremos el lenguaje con mayor profundidad. La primera prctica es la definicin de una compuerta AND de 2 entradas y una salida, tal y como se define en el siguiente esquema.
U1A a b 1 3 2 74LS08 s

La cual se definir de acuerdo al siguiente archivo: compuerta_and2.vhd


library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity compuerta_and2 is port( a: in std_logic ; b: in std_logic ; s: out std_logic ); end; architecture arch_compuerta_and2 of compuerta_and2 is begin s<= (a and b); end arch_compuerta_and2;

Libreras

Entidad

Arquitectura

El archivo se forma por 3 partes bsicas: 1. 2. 3. La definicin de libreras La definicin de la entidad con la asignacin de entradas y salidas del circuito La definicin de la arquitectura donde se establece la funcionalidad del circuito

Para realizar este proceso deberemos ejecutar el programa ispLEVER que tiene el siguiente icono

Despus procederemos a crear un nuevo proyecto en File/New Project y obtendremos la siguiente pantalla, en la cual insertaremos un nombre de proyecto y seleccionaremos el tipo de proyecto VHDL, dejando sin cambios los dems campos, seleccione siguiente. En la nueva pantalla seleccione la familia, el tipo de dispositivo y el tipo de empaque que se emplear en el proyecto y seleccione siguiente.

Lenguaje de Descripcin de Hardware (HDL) empleando Dispositivos Lgicos Programables (PLDs)

Pgina 1

Prctica # 1 Compuertas Lgicas Bsicas

Nombre del Proyecto Compuerta_and2 Tipo de Diseo

Familia Dispositivo Empaque

Acepte las 2 siguientes pantallas sin realizar ningn cambio y finalice la creacin del proyecto.

Una vez creado el proyecto se deber adicionar un nuevo archivo fuente en Source/New, seleccionando un modulo VHDL tal como se indica.

Lenguaje de Descripcin de Hardware (HDL) empleando Dispositivos Lgicos Programables (PLDs)

Pgina 2

Prctica # 1 Compuertas Lgicas Bsicas

Indique el nombre del archivo, nombre de la identidad y nombre de la arquitectura en los espacios correspondientes y defina el nombre y tipo de los puertos que intervendrn en el circuito.

Nombre del archivo Nombre de la Entidad Nombre de la Arquitectura Nombre de Puertos y tipo

Despus de este paso se generar un formato bsico que deberemos completar con los datos adecuados para nuestro proyecto, tal y como se muestra en seguida.

Una vez terminada la edicin del archivo fuente se proceder a sintetizar el proyecto para generar los archivos .jed y los reportes del proceso. Para realizar este paso se deber seleccionar la opcin Start del men Process hasta que aparezcan los indicadores de color verde que indican proceso satisfactorio.

Sobre la ventana anterior se puede observar la opcin Chip Report donde se observarn los pines asignados a las entradas y la salida del sistema.
Lenguaje de Descripcin de Hardware (HDL) empleando Dispositivos Lgicos Programables (PLDs) Pgina 3

Prctica # 1 Compuertas Lgicas Bsicas

Procederemos a realizar la simulacin y la implementacin fsica sobre una GAL22v10 para comprobar el funcionamiento correcto.

Lenguaje de Descripcin de Hardware (HDL) empleando Dispositivos Lgicos Programables (PLDs)

Pgina 4

También podría gustarte