Documentos de Académico
Documentos de Profesional
Documentos de Cultura
Informe Dispositivos Logicos Programables
Informe Dispositivos Logicos Programables
DISEO
Problema
1.
Pasos para la creacin de un diseo en vhdl con ISE Design Suite 14.7
RESULTADOS
CDIGO EJERCICIO 1
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use
IEEE.STD_LOGIC_SIGNED.ALL;
-- Uncomment the following
library declaration if using
-- arithmetic functions with
Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following
library declaration if instantiating
-- any Xilinx primitives in this
code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ejercicio1 is
Port ( a : in
STD_LOGIC_VECTOR (7 downto
0);
b : in STD_LOGIC_VECTOR
(7 downto 0);
sel : in STD_LOGIC;
x1 : out STD_LOGIC;
x2 : out STD_LOGIC;
x3 : out STD_LOGIC);
end ejercicio1;
architecture Behavioral of
ejercicio1 is
signal q,w: signed (7 downto 0);
signal l,k: unsigned (7 downto 0);
begin
q<= signed(a);
w<= signed(b);
l<= unsigned(a);
k<= unsigned(b);
x1<='1' when (sel='0' and q>w)
else
'1' when (sel='1' and l>k) else
'Z';
x2<='1' when (sel='0' and q=w)
else
'1' when (sel='1' and l=k) else
'Z';
x3<='1' when (sel='0' and q<w)
else
'1' when (sel='1' and l<k) else
'Z';
end Behavioral;
k<="10000000";
l<="00000001";
x<=not(a)+l;
y<=not(b)+l;
q<=unsigned(a);
w<=unsigned(b);
sum<=q+w when sel="00" else
q-w when sel="10" else
x-y+k when (sel="01" and
a(7)='1') else
x-y+k when (sel="01" and
b(7)='1') else
a+b when sel="01" else
x+y+k when (sel="11" and
a(7)='1') else
ELSE
Y<='0';
END IF;
IF (I=160) THEN
I:=0;
END IF;
END PROCESS;
end Behavioral;
IMPLEMENTACIN
NET "CLK" CLOCK_DEDICATED_ROUTE = FALSE;
NET "CLK" LOC = "C9";
NET "Y" LOC = "A6";
NET "Z" LOC = "B6";
begin
s <= x XOR y;
end Behavioral;
IMPLEMENTACIN
NET "X" LOC ="N17";
NET "Y" LOC ="H18";
NET "S" LOC ="F9";
entity archivo1 is
Port ( x,y : in STD_LOGIC;
s : out STD_LOGIC);
end archivo1;
Cdigo compuerta AND
architecture Behavioral of
archivo1 is
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
architecture Behavioral of
COMPUERTA is
begin
architecture Harley of
MULTIPLEXOR_W is
SAL<= A AND B;
begin
S <= A WHEN SEL="00" ELSE
B WHEN SEL="01" ELSE
end Behavioral;
Cdigo multiplexor
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following
library declaration if using
D;
end Harley;
IMPLEMENTACIN
NET
NET
NET
NET
NET
NET
NET
"A" LOC="N17";
"B" LOC="H18";
"C" LOC="L14";
"D" LOC="L13";
"S" LOC="F9";
"SEL<0>" LOC="D18";
"SEL<1>" LOC="K17";
SIMULACIN EJERCICIO 1
SIMULACIN EJERCICIO 2
IMPLEMENTACIN CDIGOS
1. COMPUERTA AND
2. COMPUERTA XOR
3. MULTIPLEXOR
CONCLUSIONES
REFERENCIAS BIBLIOGRAFICAS.