Está en la página 1de 16

Tema 1.

Introduccin a la
arquitectura de computadores:
diseo, coste y rendimiento
Arquitectura de
Computadores
I. T. Informtica de Gestin

Curso 2009-2010

Tema 1:

Transparencia: 2 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

ndice

Relacin de asignaturas del plan de estudios de I. T. Informtica


de Gestin
Estructura de computadores vs. Arquitectura de computadores
Tareas del diseador de computadores
Coste vs. rendimiento
Coste
Rendimiento
Bibliografa

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Arquitectura de Computadores
I. T. Informtica de Gestin

Tema 1:

Transparencia: 3 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Relacin de asignaturas en el plan de


estudio de I. T. Informtica de Gestin

Asignatura
Aplicacin
Lenguajes de alto nivel
Sistemas operativos
Arquitectura

Estructura de Computadores
er
(1 semestre)
Electrnica
(2 semestre)

Diseo de circuitos digitales

Arquitectura de Computadores
er
(3 semestre)
Tecnologa

Contenidos
Organizacin de las unidades
funcionales y su interconexin para realizar las especificaciones de la arquitectura

Arquitecturas Avanzadas
(5 semestre)

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Tema 1:

Sistema que integra tanto el


hardware, como el software,
y algoritmos para realizar los
clculos del computador

Arquitectura de Computadores
I. T. Informtica de Gestin

Transparencia: 4 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Estructura vs. Arquitectura (I)


Estructuras

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Arquitecturas

Arquitectura de Computadores
I. T. Informtica de Gestin

Tema 1:

Transparencia: 5 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Estructura vs. Arquitectura (II)


Estructuras

Arquitecturas

+
A+B

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Arquitectura de Computadores
I. T. Informtica de Gestin

Tema 1:

Transparencia: 6 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Estructura vs. Arquitectura (y III)


Estructuras

Son la unidades funcionales:


memorias, puertas lgicas,
buses, circuitos integrados,
procesadores . a partir de las
cuales se formarn otras

Arquitecturas

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Dependiendo de cmo se
organicen las estructuras
bsicas tendremos diferentes
arquitecturas que respondern
a las especificaciones que de
coste y rendimiento se deseen
obtener. PC, supercomputador,
estacin de trabajo

Arquitectura de Computadores
I. T. Informtica de Gestin

Tema 1:

Transparencia: 7 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Tareas del diseador de


computadores (I)

La tarea de un diseador de computadores consiste en determinar


que atributos son importantes para una nueva mquina, o analizar los
cuellos de botella de un sistema ya existente y lograr maximizar el
rendimiento dentro de unos costes razonables de los que no puede
pasarse

Para ello debe definir una serie de requisitos funcionales que el


sistema deber cumplir

Tendr en cuenta: el rea de aplicacin, el nivel de compatibilidad


software, los requisitos de los sistemas operativos y los estndares
existentes

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Tema 1:

Arquitectura de Computadores
I. T. Informtica de Gestin

Transparencia: 8 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Tareas del diseador de


computadores (II). rea de aplicacin

El rea de aplicacin hace referencia al objetivo por el que se crea el


computador o por el que se mejora el existente. Pueden ser:

Computador de propsito general: el rendimiento se equilibra entre la


variedad de tareas que puede realizar
Computador cientfico: requerir una alta capacidad de realizar
operaciones en coma flotante
Computador empresarial: proporcionar soporte para procesar las
transacciones de bases de datos, realizar clculos simples, soportar
lenguajes como Cobol, RPG I y II
Computadores tolerantes a fallos: debern tener capacidad de detectar
fallos y reconfigurarse. Normalmente tiene duplicados, o triplicados, todos
los elementos que lo forman

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Arquitectura de Computadores
I. T. Informtica de Gestin

Tema 1:

Transparencia: 9 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Tareas del diseador de


computadores (III). Compatibilidad sw.

La compatibilidad software hace referencia a la cantidad de


aplicaciones existentes que podrn ejecutarse en la mquina nueva o
mejorada. Entre las posibilidades destacan:

A nivel de lenguaje de programacin de alto nivel: es mucho ms


flexible y cmodo para el diseador de computadores pero requiere un
nuevo compilador

A nivel de compatibilidad binaria: existen diferentes mtodos pero todos


tienen en comn que el juego de instrucciones ya est definido, es menos
flexible pero no requiere generar nuevo software o portarlo

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Tema 1:

Arquitectura de Computadores
I. T. Informtica de Gestin

Transparencia: 10 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Tareas del diseador de


computadores (III). Requisitos del S.O.

Los requisitos del sistema operativo o sistemas operativos que se


hayan escogido para la mquina:

Tamao del espacio de direcciones: es una de las caractersticas ms


importantes a tener en cuenta ya que puede limitar las aplicaciones
Manejo de memoria: es un requisito para los sistemas operativos
actuales, puede ser paginada o segmentada
Memoria virtual: decidir si la arquitectura ayudar o no al sistema
operativo a manejar la memoria virtual
Proteccin: existen diferentes necesidades para las aplicaciones y
sistemas operativos
Tiempo real: se deber tener en cuenta si se escoger un sistema
operativo de tiempo real

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Arquitectura de Computadores
I. T. Informtica de Gestin

Tema 1:

Transparencia: 11 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Tareas del diseador de


computadores (y IV). Estndares

La inclusin o cumplimiento de estndares hacen referencia a cuales


cumplir la arquitectura:

Coma flotante: si se emplear el estndar IEEE 754, DEC, IBM,


Buses de entrada salida: que buses permitir la arquitectura: SCSI, SCSI
II, UltraSCSI, VME, FutureBus,
Sistemas operativos: UNIX, Linux, Windows, DOS
Redes: el soporte que proporcionar a determinados tipos de redes:
Ethernet, ATM, Token ring,
Lenguajes de programacin: ya que afectarn al juego de instrucciones
del computador: ANSI C, Fortran 77, 88, ANSI Cobol,

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Tema 1:

Arquitectura de Computadores
I. T. Informtica de Gestin

Transparencia: 12 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Coste vs. rendimiento

El coste y el rendimiento suelen estar enfrentados por lo que el


diseador de computadores debe llegar a una solucin de
compromiso entre ambos parmetros
Coste y rendimiento suelen ser restricciones a tener en cuenta por el
diseador de computadores
Ejemplos de la relacin entre el rendimiento y el coste:

Computador personal: es un sistema barato con rendimiento medio

Estacin de trabajo: tiene un buen rendimiento pero no se dispara el


precio

Supercomputador: prima el rendimiento. El coste no importa

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Arquitectura de Computadores
I. T. Informtica de Gestin

Tema 1:

Transparencia: 13 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Coste (I)

El coste es un parmetro a tener muy en cuenta al disear un nuevo


procesador o al modificar uno existente
Los factores que influyen en el coste del silicio son:

El nmero de puertas: influye en el nmero de transistores que se


necesitan y por tanto un aumento de estos ltimos requiere un rea de
silicio mayor

Conexiones entre elementos: el nmero y la longitud de estos

Regularidad del diseo: cuanto ms regular sea el diseo, menos rea


ocupar

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Arquitectura de Computadores
I. T. Informtica de Gestin

Tema 1:

Transparencia: 14 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Coste (II)

Proceso de fabricacin de chips


Obleas vrgenes

Lingote de silicio
Corte
en
obleas

De 20 a 30 etapas
de proceso

Dados verificados
Conexin
del dado al
empaquetado

Obleas impresas

Dados sueltos
(una oblea)
Corte
en
dados

Verificador de
dados

Dados empaquetados
verificados

Dados empaquetados
Verificador de
comp.

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Envo a los
consumidores

Arquitectura de Computadores
I. T. Informtica de Gestin

Tema 1:

Transparencia: 15 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Coste (III) Ley de Moore

La Ley de Moore postula que el nmero de transistores integrados se


duplica cada 18 meses

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Arquitectura de Computadores
I. T. Informtica de Gestin

Tema 1:

Transparencia: 16 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Coste (IV)

Oblea de 200 mm. con procesadores Pentium 4

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Arquitectura de Computadores
I. T. Informtica de Gestin

Tema 1:

Transparencia: 17 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Coste (V)

Pentium 4 a 3.06 GHz


Control
Control

Interfaz
de
Entrada
/
Salida

Cache instrucciones
Coma flotante
y
multimedia
mejorados

Cache de
datos

Camino de
Cache
datos de
enteros secundaria
e
interfaz
de
Control
memoria

Pipeline avanzado y
soporte para
hyperthreading

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Control

Arquitectura de Computadores
I. T. Informtica de Gestin

Tema 1:

Transparencia: 18 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Coste (VI)

Clculo del coste por dado (procesador):

Coste por dado =

Coste por oblea


Dados por oblea x ganancia

Dados por oblea =

rea de la oblea x (Diametro oblea / 2)


=
rea del dado
rea del dado

Ganancia =(1 +

Defectos por unidad de rea x rea del dado

suele ser 3 y los defectos desde 0,6 a 1,2 por cm. cuadrado

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Arquitectura de Computadores
I. T. Informtica de Gestin

Tema 1:

Transparencia: 19 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Coste (y VII)

Ejemplo: encontrar el nmero de dados tericos para una oblea de 25 cm.


de dimetro y los reales mediante el clculo de la ganancia, suponiendo
una densidad de defectos de 0,8 por cm2. El lado del dado es de 1,5 cm. y
=3

Dados por oblea =


Ganancia =(1 +

rea de la oblea x (Diametro oblea / 2) x (25 / 2)


=
=
= 218 dados tericos
rea del dado
rea del dado
1,5 x 1,5

Defectos por unidad de rea x rea del dado

) = (1 +

0,8 x 1,5 x 1,5

) = 0,24

3
Dados reales =Dados por oblea tericos x Ganancia =218 x 0,24 = 52 dados reales

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Tema 1:

Arquitectura de Computadores
I. T. Informtica de Gestin

Transparencia: 20 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Rendimiento (I). Introduccin

Los computadores se construyen para realizar un gran nmero de


clculos en el menor tiempo posible
Para medir la rapidez y la potencia de clculo se emplean:

El tiempo de ejecucin de un trabajo: cuanto menos tarde, mejor


La productividad, o throughput: que es la cantidad de trabajo que es
capaz de ejecutar en un tiempo determinado. Cuanto ms realice, mejor

El tiempo que tarde en ejecutar un programa depende de varios


factores: de las operaciones de entrada/salida, del acceso a
memoria, del tiempo de CPU consumido por el sistema operativo y
del tiempo de CPU del usuario

El tiempo de ejecucin hace referencia al tiempo de CPU de usuario

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Arquitectura de Computadores
I. T. Informtica de Gestin

10

Tema 1:

Transparencia: 21 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Rendimiento (II). Evolucin


Evolucin del rendimiento de los procesadores

Rendimiento

Ao

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Arquitectura de Computadores
I. T. Informtica de Gestin

Tema 1:

Transparencia: 22 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Rendimiento (III). Mtricas

MIPS: Millones de instrucciones por segundo


MFLOPS: Millones de operaciones en coma flotante por segundo
Existen una serie de test que permiten medir el rendimiento de todo el
sistema en conjunto

Una forma de medir el rendimiento es por el tiempo de ejecucin de


los programas

Rendimiento =

1
Tiempo de ejecucin

Con lo que se puede decir que una mquina X es n veces ms rpida


que otra Y si:

RendimientoX = Tiempo de ejecucin Y = n


RendimientoY Tiempo de ejecucin x
Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Arquitectura de Computadores
I. T. Informtica de Gestin

11

Tema 1:

Transparencia: 23 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Rendimiento (IV). Mtricas


Rendimiento de la CPU
Tiempo de ejecucin de CPU = Ciclos de reloj de la CPU x Tiempo de
para un programa
para un programa
ciclo de reloj

Tiempo de ejecucin de CPU = Ciclos de reloj de la CPU para programa


para un programa
Frecuencia de reloj

Tiempo de ejecucin = Nmero de instrucciones x CPI x tiempo de ciclo


Tiempo de ejecucin = Nmero de instrucciones x CPI
Frecuencia de reloj

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Arquitectura de Computadores
I. T. Informtica de Gestin

Tema 1:

Transparencia: 24 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Rendimiento (V). Mtricas

Resumiendo: los componentes bsicos del rendimiento y la unidad


de medida son:
Componentes del rendimiento

Unidad de medida

Tiempo de ejecucin de CPU para un


programa

Segundos por programa

Nmero de instrucciones

Nmero de instrucciones ejecutadas


por el programa

Ciclos por instruccin (CPI)

Nmero medio de ciclos por


instruccin

Tiempo de ciclo de reloj

Segundos por ciclo de reloj

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Arquitectura de Computadores
I. T. Informtica de Gestin

12

Tema 1:

Transparencia: 25 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Rendimiento (VI). Mtricas

Rendimiento de la memoria

Tamao en nmero de palabras. Aumenta la funcionalidad del sistema


Latencia o tiempo de respuesta en ns. Ligada a la rapidez de ejecucin
de los programas
A mayor tamao de memoria menor rapidez

Rendimiento de los buses

Nmero de lneas de comunicacin.


Frecuencia de transmisin de los datos en MHz
Ancho de banda. Megabytes por segundo

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Arquitectura de Computadores
I. T. Informtica de Gestin

Tema 1:

Transparencia: 26 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Rendimiento (VII). Benchmarks

Los programas de evaluacin recogen un amplio espectro de


funciones para las que son normalmente empleados los ordenadores

Los programas de evaluacin son conocidos como Benchmark

Caractersticas de los programas de evaluacin:

Portables a cualquier tipo de mquina: PC, estacin de trabajo,


multiprocesador
Informe de resultados fciles de interpretar
Tienen en cuenta el efecto del compilador

Programas de evaluacin ms empleados:

SPEC CPU 2000


iCOMP

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Arquitectura de Computadores
I. T. Informtica de Gestin

13

Tema 1:

Transparencia: 27 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Rendimiento (VIII). Benchmarks

SPEC CPU 2000

Nombre
Bzip2
Crafty
Eon
Gap
Gcc
Gzip
Mcf
Parser
Perbmk
Twolf
Vortex
Vpr

Programas del CINT2000


Descripcin
Compresin
Juego de ajedrez
Visualizacin
Intrprete de teora de grupo
Compilador C de GNU
Compresin
Optimizacin combinatoria
Procesador de texto
Entorno lenguaje PERL
Simulador de circuitos
Base de datos OO
Enrutado de chips FPGA

Nombre
Facerec
Applu
Apsi
Sixtrack
Wupwise
Art
Mgrid
Galgel
Ammp
Lucas
Fma3d
Swin
Equake
Mesa

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Tema 1:

Programas del CFP 2000


Descripcin
Reconocimiento de caras
Ecuaciones diferenciales
Prediccin meteorolgica
Acelerador de partculas
Fsica cuntica
Reconocimiento de imgenes
Multimalla 3D
Dinmica de fluidos
Qumica computacional
Teora de nmeros
Simulacin de colisiones
Modelado de superficie del agua
Ondas ssmicas
Librea grficos 3D
Arquitectura de Computadores
I. T. Informtica de Gestin

Transparencia: 28 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Rendimiento (IX). Benchmarks

iCOMP 3.0

Benchmark
CPUmark99
Wintune98 Advanced CPU integer test
MulitmediaMark 99
3D Winbench99-3Dlighting and
Transf. Test
Winbench99-FPU WinMak
Jmark 2.0 Processor test

Peso porcentual
20
20
25
20

Aplicaciones a las que caracteriza


Computacin intensiva de nmeros enteros
Computacin intensiva de nmeros enteros
Aplicaciones A / V en Internet
Geometra e iluminacin 3D

5
10

Computacin intensiva en punto flotante


Aplicaciones java

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Arquitectura de Computadores
I. T. Informtica de Gestin

14

Tema 1:

Transparencia: 29 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Rendimiento (X). Ley de Amdahl

Cuello de botella. Subsistema o subsistemas que degradan el


rendimiento del equipo en general. Mejorar el caso comn
La ley de Amdahl mide el impacto en el rendimiento del cambio en un
subsistema
Ley de Amdahl:
Tiempo sin mejora
1

A=

Tiempo con mejora

(1 F m) +

F
A

Am factor de mejora que ha introducido el subsistema alterado


Fm. Fraccin de tiempo que el sistema emplea el subsistema
alterado

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Tema 1:

Arquitectura de Computadores
I. T. Informtica de Gestin

Transparencia: 30 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Rendimiento (XI). Ley de Amdahl

Si Am =

A=

1
(1 F m)

El porcentaje mximo que un subsistema puede acelerarse actuando


sobre uno de los componentes est acotado en funcin de cunto se use
A =1
Si Fm = 0
La mejora sobre un componente no tiene efecto sobre el sistema total si
ese componente no se emplea

A = Am
Si Fm = 1
Todo el tiempo de ejecucin del programa se dedica a emplear el
componente mejorado, con o que la ganancia en velocidad
experimentado por el subsistema se trasladar al sistema general

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Arquitectura de Computadores
I. T. Informtica de Gestin

15

Tema 1:

Transparencia: 31 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Rendimiento (y XII). Ley de Amdahl

Ejemplo:
Se desea mejorar el rendimiento de un computador introduciendo un
coprocesador matemtico que realice las operaciones en la mitad de
tiempo. Calcular la ganancia en velocidad del sistema para la ejecucin de
un programa si el 60% del mismo se dedica a operaciones aritmticas. Si el
programa tarda 12 segundos en ejecutarse sin la mejora. cunto tardar
con la mejora?
Am = 2 y Fm = 0,6

A=

1
(1 0,6) +

= 1,42

Con lo que el sistema es un 42% ms rpido

A=

0,6
2

TiempoEjecucinSinMejora
12
1,42 =
TiempoEjecucinConMejora
TiempoEjecucinConMejora

Lo que hace que el programe tarde 8,45 segundos

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Arquitectura de Computadores
I. T. Informtica de Gestin

Tema 1:

Transparencia: 32 / 32

Introduccin a la arquitectura de computadores: diseo, coste y rendimiento

Bibliografa

Estructura y diseo de computadores


David A. Patterson y John L. Hennessy. Revert, 2000
Captulo 2

Arquitectura de computadores. Un enfoque cuantitativo


John L. Hennessy y David A. Patterson. Mc Graw Hill, 3 ed, 2002
Captulos 1 y 2

Departamento de Automtica
rea de Arquitectura y Tecnologa de Computadores

Arquitectura de Computadores
I. T. Informtica de Gestin

16

También podría gustarte