Está en la página 1de 34

Crear trabajo en la plataforma de ISE Xilinx

Paso 1: Abra el programa, deberá aparecerse una pantalla como la


siguiente:

Posterior, cierre el cuadro de dialogo que se abre y vaya


al siguiente paso.

Paso 2, creación de un nuevo proyecto:


Se dirige a la pestaña “FILE”

Se debe desplegar un menú en el cual selecciona la opción


“New Proyect”.
Una vez seleccionado, debe aparecérsele un cuadro de dialogo como
el mostrado a continuación:

En este cuadro, el usuario define el nombre del archivo. Xilinx no


permite espacios entre caracteres, por lo que, si desea espaciar
palabras deberá hacerlo por medio de guion (-) o un guion bajo (_).
También puede cambiar la ruta de almacenamiento del archivo.
Una vez indicado el nombre de click en la opción “Next”.

Paso 3, selección de las especificaciones de la tarjeta FPGA:


Una vez realizado completo el paso anterior, debe quedar en su
pantalla el siguiente cuadro de texto.

En él se dan las especificaciones para el proyecto referentes a la


placa FPGA que se utilizara, en este caso un Mimas – Spartan 6
FPGA. Los datos que se deben modificar son “Family”, “Device” y
“Package” mostrados en el recuadro en la siguiente imagen.
Para la Mimas los datos deben ser:
Mimas – Spartan 6 FPGA
Family Spartan6
Device XC6SLX9
Package TQG144

Una vez hecho los cambios de click en el cuadro de “Next”.


Lo siguiente será que le aparecerá un cuadro como el que se ve en
la imagen a continuación con un resumen de todos los cambios que
ha realizado.

De click en cuadro “Finish” y habrá finalizado la preparación para


empezar el proyecto.

Paso 4, hacer un proyecto por medio de esquemático:


Después de terminar el paso anterior deberá estar en un área como
la que se presenta a continuación:
Ubíquese sobre el área marcada en el cuadro rojo, y de click
derecho, para desplegar el menú de opciones como el que se
presenta a continuación:

Seleccione la opción “New Source”, abrirá una ventana llamada New


Source Wizard y seleccione la opción “Schematic” de manera que
quede marcada en azul como se muestra en la imagen siguiente
A continuación, como se muestra en la imagen inferior en el cuadro,
ingresa el nombre del archivo, en este caso, “contador_sch”.

Se da click en el cuadro “Next”, deberá aparecerle una ventana como


se muestra a continuación:

Se da click en “Finish” y finalizamos la creación del


archivo esquemático.
Paso 5, armado del circuito del contador:
Terminado el paso anterior, deberá aparecerles un área de trabajo
como en la siguiente imagen.

Para empezar a armar el circuito empiece a seleccionar las


compuertas y los flip flops a usarse, estos los puede encontrar en
la cinta vertical del lado izquierdo.
Puede visualizar los diferentes tipos de flip flops, buscando en la
categoría “Flip_Flop” y las compuertas lógicas en la categoría
“Logic”.
Otra opción es tipear el nombre del componente en el espacio
“Symbol Name Filter”

Paso 6, asignación de entradas y salidas:


Una vez puestos los componentes, se colocan los pines de entrada y
salida en los terminales Esto se hace antes
correspondientes. hacer las conexiones de
entrehacerlo,
Para componentes.
busque en la barra de opciones del lado izquierdo la
opción “Add I/O Marker”
El programa de manera automática supone cuáles serán las entradas y
las salidas. Puede hacerlo manual seleccionando específicamente el
tipo de pin que quiere que sea con las opciones en cuadro de la
siguiente imagen.

Para renombrar los pines de entrada y salida, de click derecho


sobre el pin a editar, y entre en la opción “Rename Port”
Deberá aparecer una ventana como la mostrada a continuación:

Una vez escrito el nombre, de click en el cuadro “OK” y continue.


Repita el procedimiento las veces que sea necesario

Paso 7, agregar cables:


Si requiere hacer conexiones entre pines y componentes, busque la
opción “Add Wire”, en la cinta de opciones del lado izquierdo.
Realice el proceso las veces que sea necesario.
Una vez finalizado, salve el trabajo haciendo clik en la opción
“GUARDAR” o “GUARDAR TODO”.

Este es solo un circuito de ejemplo, el modelo de su circuito final


para el contador es el siguiente:
Paso 8, creación de archivo Test Bench para simulación:
Para verificar que su circuito se comporta como desea, diríjase a
la parte inferior izquierda a la cinta de trabajo, y busque la
pestaña “Desing”, su pantalla debe cambiar como la que se muestra
a continuación:

Maque el archivo esquemático, y de click derecho sobre él, para


desplegar el menú, y de doble click sobre la opción “New Source”
Se aparecerá un cuadro como el que se presenta a continuación. Esta
vez, seleccione la opción “VHDL Test Bench” y de él nombre deseado
al nuevo archivo. De click al cuadro “Next”.

Debe ver una ventana como la siguiente. Asocie el Test Bench al


esquemático, marcando el archivo que se desea se realice la
simulación.
Aparecerá a continuación una ventana con el resumen de lo
realizado.
De click en la opción “Finish”.

Le debe aparecer una ventana con códigos como la que se presenta a


continuación:
Revise los siguientes puntos, concuerden con las entradas y salidas
que definió en el esquemático

Paso 9, creación de estímulos para las entradas del circuito:


Para el ejemplo que estamos realizando, únicamente contamos con una
entrada, los pulsos del reloj o CLK.
Para generar los estímulos primero debemos definir el tiempo que
queremos que dure la prueba, esto se hace antes del “BEGIN”
definiendo una constante de tiempo.

Lo que se hace es definir una constante asociada al periodo que


queremos que duren los pulsos de reloj.
Lo siguiente es crear el proceso, como el reloj debe tener un
flanco de subida y uno de bajada para reflejar los cambios, se
hace el siguiente proceso para generar los estímulos.

El código representa la duración de la etapa en alta (‘1’) y de la


etapa baja (‘0’) y la duración de cada una.
Una vez realizado esto, se guarda el archivo
Paso 10, visualización de la simulación:
A continuación, a la pestaña “Desing” y en parte superior
la
izquierda, seleccionamos la opción “Simulation”.

Una vez seleccionada, su área de trabajo deberá quedar de


la siguiente manera:
En la pestaña Hierarchy, en la esquina superior izquierda, marque
el
archivo con terminación “.vhd”

Una vez seleccionado, diríjase al cuadro de “Processes”, y extienda


la opción “ISim Simulator”
Deberá mostrársele el cuadro de la siguiente manera:

De doble click en la opción “Behavioral Check Syntax”, para


corroborar que sus archivos están bien compilados. Si todo sale
bien, deberá aparecerse un gancho verde y puede proceder al
siguiete paso, de lo contrario revise su código de test bench y su
archivo esquemático.
Si el paso anterior se ejecutó de manera correcta, de doble click
en la opción “Simumlate Behavioral Model”, se abrirá otra ventana
con el diagrama de temporización. Ajuste las escala con las
opciones de “Zoom in” y “Zoom out”. Verifique que las salidas y
entradas tienen el comportamiento esperado, a lo planteado
previamente en su modelo escrito.

Si el diagrama se comporta como se espera proceda al siguiente


paso, de lo contrario revise su código de test bench y su archivo
esquemático.
Paso 11, creación de un bloque esquemático:
Para simplificar el circuito final y evitar saturar el área de
trabajo, tiene la opción de crear un bloque esquemático reducido,
como una especie de caja negra donde parecíamos principalmente las
entradas y las salidas de los procesos.
Para ello volvemos a nuestra área de trabajo, y nos dirigimos a loa
opción “Implementation” en la pestaña se “Desing”

Una vez ahí, marque el archivo esquemático que quiera convertir en


bloque.
En la pestaña de “Processes”, extienda la opción “Design
Utilities”.

De doble click en la opción “Create Schematic Symbol” y espere se


marque con un gancho verde y proceda al siguiente paso, de lo
contrario revise el archivo esquemático en busca de errores.
Paso 12, creación del circuito final y exportar componentes:
Cree un nuevo archivo esquemático, siguiendo los pasos explicados
anteriormente y nómbrelo como “main”.

A continuación, explicaremos como exportar el archivo divisor de


frecuencia facilitado por el profesor.
Sitúese en el menú “Desing” en la vista de “Implementation”.
Del lado izquierdo en la parte superior, busque la opción
“Add Source”.
Se abrirá una ventana, busque la dirección donde descomprimío la
carpeta con los archivos facilitados en clases.
Una vez en ella busque el archivo “contador” del tipo
“VHD”, selecciónelo y de click en el botón “Abrir”.

Una vez realizado el paso anterior, le aparecerá una ventana como


la mostrada a continuación:

De click en “Ok” y el archivo VHDL habrá sido exportado.


Repita el paso de creación de esquemático, marcando el archivo VHD,
abrirá la pestaña inferior de “Processes”, expanda el menú “Design
Utilities” y haga doble click enla opción “Create Schematic
Symbol”.

Una vez se haya realizado con éxito el archivo esquematico, deberá


aparecerle un gancho verde.
Si realizo correctamente el paso anterior, proceda a armar el
circuito final. Realice el proceso para añadir elementos
esquemáticos, pero esta vez en “Categories”, busque la opción que
tiene la ruta de los elementos, como la que se presenta a
continuación:

Arrastre los símbolos al área de trabajo y agregue las salidas y


las entradas correspondientes, de manera que quede de la siguiente
forma:

Guarde el archivo y proceda al siguiente paso.


Paso 13, creación de archivo de pines:
Para crear el archivo de pines, volvemos a la pestaña “Design”, en
la opción “Implementation”. Damos click derecho para desplegar el
menú, pero esta vez seleccionamos la opción “Implementation
Constraints File”, le da un nombre y de click en la opción “Next”.

Le aparecerá una pestaña con el resumen de acciones, de click en


“Finish” para terminar.
Le aparecerá un pagina en blanco donde escribirá los códigos de los
pines y los nombres de los pines dados en su esquemático

Recuerde que es indispensable que el puerto del reloj de la placa


no se altere, consulte la data en la página oficial donde también
puede encontrar la dirección de los otros elementos de la placa. (
LINK)

Guarde el archivo y proceda al siguiente paso.


Paso 14, creación de archivo .BIN para cargar a la placa:
Una vez concluida la parte de la asignación de pines, diríjase a la
opción “Design”, la opción “Implementation” y marque el archivo
“main” de manera que quede su pantalla de la siguiente manera:

Haga click derecho sobre la opción, “Generate Program File” y entre


en la opción “Process Properties”
Se le abrirá una ventana como la que aparece a continuación. Marque
la casilla que dice “Create Binary Configuration File”

Haga click en “Apply” para guardar los cambios y luego en la opción


“Ok” para cerrar la pestaña.
Una vez hecho esto, de doble click en la opción “Generate
Programing
File” y espere que le marque un gancho verde. De lo contrario,
revise las especificaciones que puso en la tarjeta de destino en
el paso 1, el archivo de pines o los archivos esquemáticos. Una
vez revisado de click derecho en “Generate Programing File” pero
esta vez busque la opción “ReRun”.
Paso 15, cargar el programa a la tarjeta Numato:
Para cargar el archivo de programa, necesitara descargar, de la
pagina oficial de la placa el software Mimas.
El enlace a la página es el siguiente (LINK) y busque la opción que
se muestra en la figura siguiente:

Ejecute el archivo que se descargó, deberá aparecerle una pestaña


como la que se muestra a continuación:
Selecciones el puerto donde conecto la placa, esto lo puede ver en
el panel de control, la opción “Dispositivos e Impresora”.

Hecho el paso anterior haga click en la opción “Open File” y


diríjase a la ruta donde guardo el archivo, una vez en ella busque
el archivo
.BIN, una vez marcado, de click en el botón “Abrir”.
“Ejecute el programa presionando Program”
Espere a que la barra de carga se complete y el archivo estará
cargado.

También podría gustarte