Está en la página 1de 12

Tutorial Básico de Uso de Vivado IDE por Felipe De los santos

Vivado es un IDE desarrollado por XILINX INC. Para el desarrollo de circuitos lógicos implementando
dispositivos lógicos programables, en específico FPGAs XILINX® de la generación ARTIX®-7, Kintex®-7,
Virtex®-7, o superiores a esta.

El objetivo principal de este corto pero significativo tutorial es, orientar al usuario con poco
conocimiento de esta herramienta a poder llevar a cabo un proyecto con los aspectos importantes de
este hasta poder implementarlo en un FPGA, para este tutorial se hará uso de una tarjeta BASYS3® de la
empresa DIGILENT INC.

 Partiendo de que previamente se ha instalado el IDE, recurrimos a abrirlo dando doble click
sobre el ícono encerrado en la siguiente imagen.

 Al abrir el programa se desplegará el entorno de Vivado®, el cual mostrará una ventana como la
que se muestra a continuación.
 Para iniciar un proyecto podemos dar click sobre la línea “Crete Project” que se encuentra
dentro del recuadro “Quick Start” o yendo a la pestaña “File” que se encuentra en la parte
superior izquierda y seleccionar la opción “Create Project”. Haciendo esto se desplegará la
siguiente ventana, a la cual simplemente tendremos que dar click al botón “Next”

 En este punto tenemos que indicar el nombre de nuestro proyecto y la dirección en la que se
desea guardar, no es necesario hacer una carpeta extra como contenedora del proyecto, ya que
vivado genera una carpeta especial para cada proyecto en el que incluye los archivos necesarios
para la funcionalidad de este.
 Al dar click en el botón “Next”, veremos la ventana siguiente y dejaremos seleccionada la opción
mostrada en la siguiente imagen y avanzando al siguiente paso.

 A continuación, elegiremos el lenguaje y el archivo (En dicho lenguaje) que usaremos para
desarrollar nuestro proyecto. Para esto daremos click en el botón “crate file” y seleccionamos el
lenguaje en el menú que se encuentra bajo el botón “Create File”, idicando si trabajaremos con
Verilog o VHDL.

 Daremos “Next” hasta llegar a la ventana de especificaciones de el FPGA a implementar, para este
ejemplo será una Basys3 compuesta por un ARTIX®-7. La configuración adecuada para dicha
tarjeta se presenta a continuación. La designación se terminará cuando demos doble click a la
opción seleccionada en el ejemplo presentado.
 Hecho esto aparecerá el siguiente recuadro y seleccionaremos el botón “Finish” para crear el
proyecto y ver el entorno de escritura.
 Como herramienta para la creación del código el IDE nos pregunta por las salidas y entradas que
tendrá nuestro proyectó, si su programa está previamente ideado, incluir aquí los puertos
(Busses) resulta muy conveniente. Hecho esto, damos click en el botón “OK”
 Iniciado el proyecto, podremos ver el archivo de código fuente dentro de la ventana “Sources”
 Desarrollamos el código para nuestro programa y guardamos el avance desde “File” o activando
las teclas “ctrl + s”. Cuando un programa se ha editado y no se han guardado los cambios, a un
costado del nombre de este (el programa), en la pestaña que lo representa se visualizará un
asterisco “*”.

 Ahora recurrimos a designar los pines que representarán a los puertos usados en el programa.
Pasos: Flow Navegator \ RTL Analysis \ Open Elaborate Design \ Schematic
 Esto nos mostrará la representación el circuito que hemos creado, usando bloques, indicando las
compuertas lógicas y dispositivos que se han requerido para dicho proyecto. Antes de dirigirnos
a los pines, designaremos los parámetros por defecto a utilizar para la BASYS3 en \Tools \ Edite
Devise Properties… donde se cambiarán los datos mostrados a continuación, sará fácil identificar
las opciones a cambiar ya que estas son muy pocas y el comparativo de lo que el IDE arroja y este
tutorial indica es muy claro. Habiendo seleccionado lo indicado, daremos click en el botón “OK”.
 La lista de pines a designar se desplegará cuando seleccionemos la opción I\O ports ubicada
sobre el esquemático del proyecto.
 IMPORTANTE: El siguiente cuadro en rojo, indica la configuración adecuada para el uso de una
Basys3.

 Después de esto designaremos los pines de entrada y de salida según la Data Sheet del
dispositivo lo indique y permita. Haciendo esto se activarán las teclas “ctrl + s”, guardando así el
archivo de las rutas.

También podría gustarte