Documentos de Académico
Documentos de Profesional
Documentos de Cultura
Practica N°7
Fecha: 24/06/2022
Fundamento teórico
VHDL lenguaje de descripción en hardware
En la actualidad, el lenguaje de descripción en hardware más utilizado a nivel industrial es VHDL
(Hardware Description Language), que apareció en la década de los ochenta como un lenguaje
estándar, capaz de soportar el proceso de diseño de sistemas electrónicos complejos, con
propiedades para reducir el tiempo de diseño y los recursos tecnológicos requeridos.
Después de varias versiones revisadas por el gobierno de los Estados Unidos, industrias y
universidades, el IEEE (Instituto de Ingenieros Eléctricos y Electrónicos) publicó en diciembre de
1987 el estándar IEEEstd 1076-1987. Un año más tarde, surgió la necesidad de describir en VHDL
todos los ASIC creados por el Departamento de Defensa, por lo que en 1993 se adoptó el estándar
adicional de VHDL IEEE1164.
Hoy en día VHDL se considera como un estándar para la descripción, modelado y síntesis de
circuitos digitales y sistemas complejos. Este lenguaje presenta diversas características que lo
hacen uno de los HDL más utilizados en la actualidad.
Simulación
• Contador UP/DOWN
Código
--lab7 -> contador up/down
------------------------------------------------------------
--librerias
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
------------------------------------------------------------
------------------------------------------------------------
--definimos la entidad
entity contador is
port( clock: in std_logic;
salida: out integer range 0 to 15;
pulsador: in std_logic
);
end contador;
------------------------------------------------------------
------------------------------------------------------------
--definimos la arquitectura
architecture up_down of contador is
signal puesto: integer range 0 to 7;
begin
contar: process (clock)
begin
if(clock' event and clock='0') then
if(pulsador='0') then
if(puesto=7) then
puesto <=0;
else
puesto <= puesto+1;
end if;
else
if(puesto=0) then
puesto <=7;
else
puesto <=puesto-1;
end if;
end if;
end if;
end process contar;
Resultado
Conclusiones y recomendaciones
Con la utilización del programa quartu2 se pudo demostrar y comprobar el funcionamiento del
contador ascendente descendente mediante código VHDL así mismo obteniendo mayor
comprensión del programa