Está en la página 1de 20

Universidad Autónoma de Baja California

Facultad de ingeniería, arquitectura y diseño

Práctica #5. Compuertas lógicas complementarias y circuito


combinacional.

Bioingeniería

Profesor:

Cesar Alberto Lopez Mercado

Integrantes:

Estrella Anahy Hernandez Muñoz


Cesar Carreon Lizarraga

Sistemas Digitales

5to semestre

Grupo 652

Ensenada, B.C., a 22 de febrero de 2024


Resumen

En la presente práctica se implementaron compuertas lógicas de 4 entradas,


utilizando compuertas con 2 entradas (pines 1 y 2) , por ello, se conectaron 2
entradas de otra compuerta(pines 4 y 5), y estas 4 entradas se conectaron a una
salida en común (pin 6) esto se realizó para la compuerta OR y compuerta AND
debido a que comparten los mismos pines de entrada y salida. En la compuerta OR,
la salida fue 1 cuando cualquiera de las 4 entradas fuera 1, mientras que en la
compuerta AND , la salida fue 1 cuando las 4 entradas eran 1, cualquier otra
combinación la salida fue 0. Posteriormente a la compuerta AND se le conectó una
compuerta NOT, para formar una compuerta NAND, lo que significa una salida
negada, por tanto, la salida fue 0 cuando las 4 entradas eran 1, cualquier otra
combinación la salida fue 1. Finalmente se realizó un circuito combinacional con las
compuertas AND y OR, a diferencia de los circuitos anteriores se tuvieron 3
entradas. La salida de la compuerta AND se conectó a una entrada de la compuerta
OR, observando que la salida fue 1 cuando la entrada C tenia valor 1, así como
cuando la entrada A y B fueran 1, debido a que la salida de la compuerta OR
corresponde a una suma. En todos los casos se obtuvieron las tablas de verdad.

Objetivo

● Implementar compuertas lógicas con más de dos entradas, así como circuitos
combinacionales.

Introducción

Un circuito lógico combinacional es aquel en el que el estado actual de la


combinación de las entradas lógicas decide la salida. El término lógica
combinacional significa la combinación de dos o más puertas lógicas para formar
una función requerida donde la salida en un momento dado depende sólo de la
entrada.

Las puertas lógicas son los bloques de construcción fundamentales de un circuito


combinacional. Mediante el uso de la combinación de puertas lógicas se pueden
implementar circuitos combinacionales más complejos como multiplexores y
demultiplexores, comparadores, sumadores y restadores, etc.
Se define un Álgebra de Boole (A,+,*) como todo conjunto de elementos

capaces de adoptar dos valores, designados por 1 y 0, y entre los cuales están

definidas dos operaciones: suma lógica (+) y producto lógico (*). Cada uno de

dichos elementos reciben de variable lógica o binaria.

Teoremas del álgebra Booleana

Para minimizar las diferentes funciones booleanas, es necesario utilizar los


teoremas lógicos de Boole. Los teoremas de Boole son siempre verdaderos, es
decir, son axiomas que no necesitan prueba, se enlistan en pares porque cada ley
válida tiene una dualidad entre 0 y 1 y/o + y ⋅

Tabla X. Funciones y su figura identificadora.

En la Tabla X se muestra una lista con cada uno de los teoremas y su dual, es
necesario mencionar que a cada teorema se le está representando con una figura y
color, esto se hace con la intención de indicar cuando se ha usado cada teorema en
la resolución de funciones que se tendrán más adelante.

Equipo y materiales

● Circuitos integrados:
○ SN74LS00 - NAND
○ SN74LS02 - NOR
○ SN74LS04 - NOT
○ SN74LS08 – AND
○ SN74LS32 - OR
● 1 Dip switch
● 5 LEDs
● 4 Resistencias de 220Ω o 330Ω
● 1 Protoboard
● Fuente de alimentación
● Caimanes
● Jumpers/ cables

Desarrollo experimental

1. Se implementó una compuerta OR de 4 entradas, debido a que la compuerta


lógica SN74LS32 tiene únicamente 2 entradas (por compuerta lógica interna),
fue necesario conectar 2 entradas de otra compuerta interna, y a su vez
conectarlo a una salida en común para las 4 entradas, dicha salida se
conectó al dip swith como se muestra en el siguiente diagrama:

Diagrama 1. Configuración de una compuerta interna con sus dos entradas y su salida con
un LED.

Como se observa, las 4 entradas se conectaron al dip switch en serie a una


resistencia de 220Ω, y la salida a un LED en serie con una resistencia de 220
Ω conectada a tierra. Se conectaron 4 LEDs al pin Vcc con una alimentación
de 5v, correspondientes a las entradas, como se observa en la figura 1.
Nota: Se emplearon las entradas 1 y 2 de una compuerta interna y las
entradas 4 y 5 de la otra compuerta interna, las cuales se conectaron a la
salida del pin 6.

Figura 1. Configuración compuerta OR de 4 entradas- entrada 0,0,0,0 salida 0.

Al tener 4 entradas significa que hay 16 combinaciones posibles, es decir,


4
2 = 16.

Obteniendo la siguiente tabla de verdad:

A B C D X

0 0 0 0 0

0 0 0 1 1

0 0 1 0 1

0 0 1 1 1

0 1 0 0 1

0 1 0 1 1

0 1 1 0 1

0 1 1 1 1

1 0 0 0 1
1 0 0 1 1

1 0 1 0 1

1 0 1 1 1

1 1 0 0 1

1 1 0 1 1

1 1 1 0 1

1 1 1 1 1
Tabla I. Tabla de verdad de la compuerta OR con 4 entradas.

Figura 2. Compuerta OR de 4 entradas- entrada 0,0,0,1 salida 1.

Figura 3. Compuerta OR de 4 entradas- entrada 0,0,1,1 salida 1.


Figura 4. Compuerta OR de 4 entradas- entrada 0,1,1,1 salida 1.

Figura 5. Compuerta OR de 4 entradas- entrada 1,1,1,0 salida 1.

Cualquier combinación en donde exista una entrada 1, la salida siempre será 1,


como se observa en las figuras 1,2,3,4 y 5.

La compuerta lógica OR que corresponde a una compuerta de 4 entradas es


CD4072.

2. Posteriormente se implementó una compuerta lógica AND de 4 entradas,


debido a que internamente comparten los mismos pines de entrada y de
salida que la compuerta lógica OR, simplemente fue necesario sustituir la
compuerta, como se observa en la figura 6.
Figura 6. Compuerta lógica AND- entrada 1,1,1,1 salida 1.

Obteniendo la siguiente tabla de verdad:

A B C D X

0 0 0 0 0

0 0 0 1 0

0 0 1 0 0

0 0 1 1 0

0 1 0 0 0

0 1 0 1 0

0 1 1 0 0

0 1 1 1 0
1 0 0 0 0

1 0 0 1 0

1 0 1 0 0

1 0 1 1 0

1 1 0 0 0

1 1 0 1 0

1 1 1 0 0

1 1 1 1 1
Tabla II. Tabla de verdad de la compuerta AND con 4 entradas.

Figura 7. Compuerta AND de 4 entradas- entradas 0,1,0,1 salida 0.

Figura 8. Compuerta AND de 4 entradas- entradas 0,0,0,0 salida 0.


La salida será 1, únicamente cuando las 4 entradas sean 1, cualquier combinación
diferente la salida será 0, como se observa en la figura 7 y 8.

La compuerta lógica AND que corresponde a una compuerta de 4 entradas es


74LS21.

3. Se implementó una compuerta NAND de 4 entradas, para ello, se empleó


una compuerta AND, y su salida se conectó a una compuerta NOT, como se
muestra en la figura 9.

4. Figura 9. Compuerta NAND de 4 entradas- entrada 0,0,0,0 salida 1.

A B C D X

0 0 0 0 1

0 0 0 1 1

0 0 1 0 1

0 0 1 1 1

0 1 0 0 1

0 1 0 1 1
0 1 1 0 1

0 1 1 1 1

1 0 0 0 1

1 0 0 1 1

1 0 1 0 1

1 0 1 1 1

1 1 0 0 1

1 1 0 1 1

1 1 1 0 1

1 1 1 1 0
Tabla III. Tabla de verdad de la compuerta NAND con 4 entradas.

Figura 10. Compuerta NAND- entrada 1,0,1,0 salida 1.


Figura 11. Compuerta NAND- entrada 1,0,1,1 salida 1.

Figura 12. Compuerta NAND- entrada 1,1,1,1 salida 0.

Cuando cualquiera de las entradas sea 0, la salida será 1, mientras que cuando las
4 entradas sean 1 la salida será 0, como se observa en la tabla III, las salidas son la
negación de la compuerta AND.
La compuerta lógica NAND que corresponde a una compuerta de 4 entradas es
74LS20.

5. Finalmente se realizó un circuito combinacional, como se observa en el


diagrama 2:

Diagrama 2. Circuito combinacional- compuerta AND y compuerta OR.

A diferencia de los circuitos anteriores, únicamente fue necesario emplear 4


salidas, debido a que la salida de la compuerta AND se conectó a una
entrada de la compuerta OR, como se muestra en la figura 13.

Figura 13. Circuito combinacional AND y OR entrada 0,0,0 salida 0.


Figura 14. Circuito combinacional AND y OR entrada 0,0,1 salida 0.

Figura 15. Circuito combinacional AND y OR- entrada 1,1,0 salida 1.


Figura 16. Circuito combinacional AND y OR- entrada 1,1,1 salida 1.

Figura 17. Circuito combinacional AND y OR- entrada 1,0,1 salida 1.


Figura 18. Circuito combinacional AND y OR- entrada 0,0,1 salida 1.

Debido a que dicho circuito tiene 3 entradas, se tendrán 8 posibles combinaciones,


como se muestra a continuación:

3
2 = 8

A B C U=A*B X=U+C

0 0 0 0 0

0 0 1 0 1

0 1 0 0 0

0 1 1 0 1

1 0 0 0 0

1 0 1 0 1

1 1 0 1 1

1 1 1 1 1
Tabla IV. Tabla analítica del circuito combinacional AND y OR.
A B C X

0 0 0 0

0 0 1 1

0 1 0 0

0 1 1 1

1 0 0 0

1 0 1 1

1 1 0 1

1 1 1 1
Tabla IV. Tabla práctica del circuito combinacional AND y OR.

Cuando la entrada C sea igual a 1, la salida siempre será 1, debido a que


independientemente del valor de las entradas A y B al multiplicarse la salida será 1,
debido a que se suma la entrada C con valor a 1.

También la salida será 1 cuando las entradas A y B sean 1, debido a que al


multiplicarse da 1, y al sumarse con la entrada C sin importar el valor siempre será
1.

Discusión

Fue necesario el armado del circuito del diagrama 1 para la conexión de las
compuertas internas, la conexión de las compuertas internas fue realizado para
probar la compuerta lógica OR, en donde como se muestra en las figuras 1, 2 ,3 ,4 y
5. El led enciende cuando tiene en cualquiera de sus entradas el valor de 1, esto
interpretandolo como si la salida fuera 1 (si led está apagado sería 0), esto debido a
que el una compuerta OR funciona en el álgebra booleana como una suma aplicado
como 𝑥 = (𝐴 + 𝐵), debido a este arreglo cualquier valor de A o B que fuese 1, la
suma arrojaría ese valor, si sumamos 1 + 0 esto nos da 1, por otro lado si sumamos
1 + 1 esto seria 2, como en el álgebra booleana no existen más que 1 o 0 el
resultado de esa suma sería 1. Al unir las 2 salidas de las compuertas internas OR
en las entradas una compuerta interna también OR, tenemos 4 entradas (A, B, C y
D), lo cual las conexiones de las diferentes compuertas lógicas internas interpreta
como 𝑥 = (𝐴 + 𝐵 + 𝐶 + 𝐷), el valor que esté en las entradas se realiza la
sumatoria por la primera compuerta interna arrojando como salida la respuesta a
dicha operación. Esa salida va a la entrada de la última compuerta interna donde se
realiza la sumatoria final y se arroja como salida la sumatoria de las salidas de
ambas compuertas lógicas. el valor de la salida como 0 es únicamente cuando
todas las entradas son 0 como se ve en la figura 1 debido a 𝑋 = 0 + 0 + 0 + 0,
cualquier valor de las entradas igual 1 arroja una salida igual.

En el caso de la compuerta AND (figuras 6, 7 y 8) con 4 entradas arroja como salida


el valor de 1 (led encendido) únicamente cuando todas las 4 entradas son iguales a
1 como en la figura 6, las operaciones con un valor diferente de 1 arroja un valor de
salida de 0. esto debido a que la compuerta lógica interna realiza una multiplicación
de las entradas por lo que se expresa de la siguiente manera 𝑋 = (𝐴𝐵)(𝐶𝐷), por lo
que cualquier operación con el valor de 0 arrojaría una respuesta de 0 debido a que
la multiplicación de cualquier número real (en este caso 1) es igual 0, en el caso de
la figura 6 la expresión que explica el valor 𝑋 = ((1)(1))((1)(1)) arrojando de salida
el valor de 1.

En el caso de la compuerta NAND (figura 9,10 ,11 y 12) se realizó la


implementación de una compuerta lógica AND y NOT, ya que, no puede replicarse
la compuerta lógica NAND de manera práctica debido a que no arroja los valores
que indica la tabla de verdad, con este acomodo se buscó que la salida de esta
compuerta se negara debido a que la expresión que explica este arreglo sería
𝑋 = (𝐴𝐵𝐶𝐷), esta multiplicación se desarrolla mediante el teorema 14 de morgan
haciendo que cuando sí tiene un valor de entrada que sea igual 0
independientemente de que las otras sean 1 la salida será 1, pero cuando el valor
de entrada sea 1 en todas la salida será de 0, ya que primero se realiza la
multiplicación y después se niega el resultado. en la figura 9 se muestra cómo al
tener el valor de 0 en las entradas la salida es 1 debía que 𝑋 = (𝐴𝐵𝐶𝐷),
𝑋 = (0 + 0 + 0 + 0), 𝑋 = (1 + 1 + 1 + 1), 𝑋 = 1.

En realización del circuito combinacional (figura 13, 14, 15, 16, 17 y 18) se
implementó el uso de 2 compuertas lógicas la AND y OR, ya que la expresión que
describe el circuito era 𝑋 = 𝐴 · 𝐵 + 𝐶 por lo que se reajusto el circuito para la
buena implementación de este, este resultado dependía mayoritariamente de la
variable C la cual era la que permite que el valor de salida fuera 1 (enciende el led),
el valor de salida de 1 era cuando 2 de las entradas eran igual a 1, ejemplo de ello
la figura 17 expresada como 𝑋 = 𝐴 · 1 + 1 arrojando una salida de 1.

Conclusiones

Estrella Anahy Hernández Muñoz

Al conocer internamente las compuertas lógicas e identificar los pines de entrada y


salida permite realizar conexiones entre las compuertas internas y de esta manera
obtener compuertas lógicas con más de dos entradas, dependiente la cantidad de
entradas serán la cantidad de combinaciones que se tendrán en la salida, siguiendo
𝑛
la siguiente fórmula: 2 , en donde 𝑛 representa el número de entradas. También al
conocer el funcionamiento de cada compuerta permite realizar circuitos
combinacionales y dependerá del tipo y cantidad de compuertas la salida que se
obtendrá, pues cada una realiza operaciones distintas con las entradas, por lo que
el acomodo de dichas compuertas influye en la salida final.

Cuando se implementa más de una compuerta lógica, la salida de una compuerta se


conecta a la entrada de otra compuerta, obteniendo en la salida 2 operaciones
distintas, y el orden de dichas operaciones dependerá del orden operacional de las
compuertas.

Esta práctica me permitió conocer cómo influye cada compuerta lógica en un circuito
combinacional y como realizar las conexiones cuando existe más de una compuerta
lógica.

Cesar Carreon Lizarraga

Las compuertas lógicas son la base para construir circuitos más complejos que
realizan funciones específicas en la lógica digital. Las compuertas lógicas
complementarias, como las compuertas OR, AND y NAND , ofrecen versatilidad y
eficiencia en el diseño de circuitos digitales al permitir la implementación de
cualquier función lógica mediante combinaciones adecuadas. Su capacidad para
realizar operaciones booleanas básicas, así como su capacidad para ser
interconectadas de manera eficiente, las convierte en componentes clave en la
construcción de circuitos digitales más elaborados.
Por otro lado, los circuitos combinacionales, que se basan en la interconexión de
compuertas lógicas, permiten realizar operaciones lógicas sin la necesidad de
almacenar información. Estos circuitos generan salidas únicamente en función de
las entradas presentes en un momento dado, lo que los hace ideales para
aplicaciones donde la respuesta del sistema depende exclusivamente de las
condiciones actuales

Referencias

● JL, B. (2022, 27 abril). Circuitos lógicos combinacionales. Electrónica Online.


https://electronicaonline.net/electronica-digital/circuitos-logicos-combinacionales/#go
ogle_vignette
● Marcos, S. (2012). Circuitos combinacionales. Álgebra de Boole. Uah.

http://atc2.aut.uah.es/~marcos_s/recursos/U12CircuitosCombinacionales_I.pdf

● Álgebra booleana – sistemas digitales. (s. f.).

https://virtual.cuautitlan.unam.mx/intar/sistdig/algebra-booleana/#:~:text=Para%20mi

nimizar%20las%20diferentes%20funciones,y%2Fo%20%2B%20y%20%E2%8B%85.

También podría gustarte