Documentos de Académico
Documentos de Profesional
Documentos de Cultura
library IEEE;
entity PF is
Port (
);
end PF;
architecture Behavioral of PF is
--------------------------------------------------------------------
--------------------------------------------------------------------
begin
case EstadoActual is
END IF;
END IF;
END IF;
END IF;
END IF;
END IF;
end case;
begin
case EstadoActual is
"00110";
end case;
end process;
end Behavioral;
Tibrary IEEE;
use IEEE.std_logic_1164.all;
entity testbench is
-- empty
end testbench;
architecture tb of testbench is
-- DUT component
component PF is
port(
end component;
begin
-- Connect DUT
stimulus : process
begin
wait;
end tb;