Está en la página 1de 13

ESCUELA DE INGENIERIA DE TELECOMUNICACIONES

CURSO: LABORATORIO DE SISTEMAS DIGITALES II

TEMA: MEMORIAS

SEMESTRE ACADEMICO: 2020-I CICLO: V GRUPO:

NOMBRE DEL DOCENTE: ING. AMADOR VIVAR RECARTE

INTEGRANTES CODIGO
Mallma Aquino, Heider Amilcar 2018011536

FECHA DE REALIZACION
AMBIENTE DE TRABAJO
MEMORIAS
 OBJETIVOS:
 Aprender sobre los diferentes tipos de memorias.
 Aprender a programar memorias EPROM.
 Aprender a diseñar e interpretar circuitos mediante el código hexadecimal.

 MARCO TEORICO
La memoria es un dispositivo de almacenamiento capaz de almacenar información binaria
organizada de forma tal que el acceso a la misma se realiza en forma parcial. Es decir que, en
un momento dado, sólo se puede acceder a una porción de la memoria llamada palabra. Esta
palabra tiene una longitud de n bits.

NECESIDAD DE IMPLEMENTAR MEMORIAS:


-Almacenamiento de datos
-Síntesis de Sistemas Secuenciales
-Síntesis de Sistemas Combinacionales
-Generación de señales complejas

FUNCIONAMIENTO:
El funcionamiento de una memoria se basa en el almacenamiento de información a través de
“palabras”. Estas llamadas palabras no son mas que una combinación de 0 y 1 o bien llamados
números binarios. Para poder guardar una palabra en la memoria primero se selecciona la
parte de la memoria en la que se va a trabajar, como segundo paso se habilita el modo de
escritura y como paso final se insertan los estados altos y bajos de los bits.

MODO DE DIRECCIONAMIENTO
Este modo se traduce como la forma en que accede a los datos de la memoria puede ser:
-Acceso aleatorio: El tiempo de acceso no depende de la ubicación de los datos.
-Acceso secuencial: El tiempo de acceso depende de la localización de los datos a utilizar.

TIPOS DE MEMORIAS
RAM (RAMDOM ACCES MEMORY) .- Cuando los archivos se están utilizando permanecen en la
memoria RAM y cuando dejan de utilizarse se borran de esta memoria.
VRAM.- Su nombre proviene de video RAM o memoria gráfica y es utilizada exclusivamente
para funciones gráficas.
DRAM.- Es una memoria RAM dinámica volátil, se le llama así debido a su funcionamiento ya
que requiere estar actualizando sus datos cada cierto tiempo aun cuando el dispositivo este
encendido y en uso.
SRAM.- funciona de manera inversa ya que no necesita estar en constante actualización para
poder trabajar con los datos.
ROM.- es una permanente ya que una vez que son grabados los datos no se pueden alterar ni
borrar
PROM.- el usuario puede grabar los datos una única vez, posteriormente no pueden ser
alterados
EPROM.- puede ser borrada poniéndole luz ultravioleta en una pequeña ventana que tiene el
chip.
 PROCEDIMIENTO DE LABORATORIO

1. Con la ayuda de un circuito contador binario ascendente, una memoria


EPROM(cualquiera que acepte el programador) y un display, diseñar un circuito
que despliegue el siguiente mensaje; Cd. Obregón Son.
Materiales:
- Memoria EPROM 27C256.
- Contador ascendente/descendente de 4 bits 74LS193.
- Resistencias de 220 ohmios.
- 1 Display cátodo común.
- 1 pulsador.
- 1 switch conmutador.
Procedimiento:

 Se utiliza el contador 74LS193, el cual cuenta los números del 0 al 15 en cuatro


bits. Se coloca el pulsador a la entrada del contador, configurándolo de modo
que cuando se de un pulso, este pueda contar ascendentemente.
 Las 4 salidas del contador 74LS193 se conectan con los 4 primeras entradas del
bus de direcciones del EPROM (A0, A1, A2,A3), las demás entradas van
conectadas a tierra ya que no se usaran.
 El bus de entrada/salida va conectado al display de 7 segmentos cátodo común.
 El circuito debe quedar de la siguiente forma.

U1 U2
15 D0Q0 3 10 A0 A1 11
D1Q1 2 9 A2 A3 D0
1 12
D2Q2 6 8 A4 A5 D1
10 13
D3Q3 7 7 A6 A7 D2
9 15
6 A8 A9 D3
16
SW1 12 5 A10 D4
17
5 13 4 A11 D5
UP DN PL TCU 18
4 3 A12 D6
MR TCD 19
11 25 A13 D7
74LS193 24
SW-SPDT 14 A14
21
23
2
26

20
27
22
1
CE OE
VPP
27C256

El pulsador da un pulso de reloj al contador, el cual activa el contador en ascendente


por cada pulso, cambiando la dirección que muestra el display a cada pulso.
Si se desea que el display funcione de forma automática, se reemplaza el pulsador con un un
circuito generador de pulsos, que puede ser construido con un 555 o usar un generador de
funciones.

U1 U2
15 D0 D1 D2 D3 3 10 A0 A1 A2 A3 A4 A5 A6 A7 11
A8 A9 A10 A11 A12 A13 A14
Q0 Q1 Q2
2 Q3 9 D0 D1 D2 D3 D4 D5 D6 D7
1 12
10 6 8 13
9 7 7 15
6
16
12 5
5 17
MR TCD13
UP DN PL TCU 4
18
4 3
74LS193 25 19
11
14 24
21
23
2
26
27

20
22
1
CE OE VPP
27C256

El generador de pulsos reemplaza al pulsador y activa el contador para que el display muestre
las letras de forma automática

Ahora que se tiene el circuito armado, falta generar el archivo .hex el cual programara un
cierto orden de bits que se mostraran en el display.
Primero se debe definir el comportamiento de los diodos Leds que componen el display:

Direccion P g f e d c b a Letra Hex


0000 0 0 1 1 1 0 0 1 C 39
0001 1 1 0 1 1 1 1 0 d DE
0010 0 0 0 0 0 0 0 0 00
0011 0 0 1 1 1 1 1 1 O 3F
0100 0 1 1 1 1 1 0 0 B 7C
0101 0 1 0 1 0 0 0 0 r 50
0110 0 1 1 1 1 0 1 1 e 7B
0111 0 1 1 0 1 1 1 1 G 6F
1000 0 1 0 1 1 1 0 0 o 5C
Se configura el display para que vaya mo
1001 0 1 0 1 0 1 0 0 n 54
1010 0 0 0 0 0 0 0 0 00
1011 0 1 1 0 1 1 0 1 S 6D
1100 0 1 0 1 1 1 0 0 o 5C
1101 1 1 0 1 0 1 0 0 n. D4
1110 0 0 0 0 0 0 0 0 00
1111 0 0 0 0 0 0 0 0 00
Con la tabla anterior obtenemos los números hexadecimales que corresponden a cada
dirección de la memoria EPROM.
Usamos el software HxD para generar el archivo .hex que posteriormente será simulado en
PROTEUS.

Luego, se exporta el archivo .hex , el cual será simulado en PROTEUS

Una vez exportado, se implementa el archivo .hex en el EPROM


EL circuito se muestra de la siguiente manera:

U1 U2 U1 U2
15 D0 Q0 3 10 A0 D0 11 15 D0 10 A0
Q0 3 D0 11
1 D1 Q1 2 9 A1 D1 12 1 D1 9 A1
10 D2 8 A2 Q1 2 D1 12
Q2 6 D2 13 10 D2 8 A2
9 D3 Q3 7 7 A3 D3 15 Q2 6 D2 13
5 UP TCU 12 6 A4 D4 16
9 D3 Q3 7 7 A3 D3 15
4 DN TCD 13 5 A5 D5 17 5 UP TCU 12 6 A4 D4 16
11 PL 4 A6 D6 18 4 DN TCD 13 5 A5 D5 17
14 MR 3 A7 D7 19 11 PL 4 A6
74LS193 25 A8 D6 18
24 A9
14 MR 3 A7 D7 19
21 A10 74LS193 25 A8
23 A11 24 A9
2 A12 21 A10
26 A13 23 A11
27 A14
2 A12
20 CE
22 OE 26 A13
1 VPP 27 A14
27C256 20 CE
22 OE
1 VPP
27C256

U1 U2 U1 U2
15 D0 Q0 3 10 A0 D0 11 15 D0 Q0 3 10 A0 D0 11
1 D1 Q1 2 9 A1 D1 12 1 D1 Q1 2 9 A1 D1 12
10 D2 Q2 6 8 A2 D2 13 10 D2 Q2 6 8 A2 D2 13
9 D3 Q3 7 7 A3 D3 15 9 D3 Q3 7 7 A3 D3 15
5 UP TCU 12 6 A4 D4 16 5 UP TCU 12 6 A4 D4 16
4 DN TCD 13 5 A5 D5 17 4 DN TCD 13 5 A5 D5 17
11 PL 4 A6 D6 18 11 PL 4 A6 D6 18
14 MR 3 A7 D7 19 14 MR 3 A7 D7 19
74LS193 25 A8 74LS193 25 A8
24 A9 24 A9
21 A10 21 A10
23 A11 23 A11
2 A12 2 A12
26 A13 26 A13
27 A14 27 A14
20 CE 20 CE
22 OE 22 OE
1 VPP 1 VPP
27C256 27C256

U1 U2 U1 U2
15 D0 10 A0 15 D0 Q0 3 10 A0 D0 11
Q0 3 D0 11
1 D1 9 A1 1 D1 Q1 2 9 A1 D1 12
Q1 2 D1 12
10 D2 8 A2 10 D2 Q2 6 8 A2 D2 13
Q2 6 D2 13
9 D3 7 A3 9 D3 Q3 7 7 A3 D3 15
Q3 7 D3 15
5 UP 6 A4 5 UP TCU 12 6 A4 D4 16
TCU 12 D4 16
4 DN 5 A5 4 DN TCD 13 5 A5 D5 17
TCD 13 D5 17
11 PL 4 A6 11 PL 4 A6 D6 18
D6 18
14 MR 3 A7 14 MR 3 A7 D7 19
D7 19
74LS193 25 A8 74LS193 25 A8
24 A9 24 A9
21 A10 21 A10
23 A11 23 A11
2 A12 2 A12
26 A13 26 A13
27 A14 27 A14
20 CE 20 CE
22 OE 22 OE
1 VPP 1 VPP
27C256 27C256

Se muestra a continuacion el resultado final:

Tal y como pide el ejercicio


2. Elija una memoria EPROM que acepte el programador, un microswitch y un display.
Diseñe e implemente un circuito decodificador de 4 a 7 para impulsar un display, tal y
como se ilustra en la figura. Las cuatro líneas menos significativas de dirección servirán
para introducir el dato binario a desplegar y la quinta línea (S) determinará el rango de
números: sí S=0, entonces se desplegarán los números del 0 al 9 (el display quedará
totalmente apagado con cualquier combinación binaria mayor a 9) y con S=1 se podrá
desplegar en el display los dígitos del sistema hexadeximal (0-F).ximal (0-F).

Procedimiento:

 Se diseña una tabla con los números y letras que se mostrara en el led

Direccion P g f e d c b a Letra Hex


0000 0 0 1 1 1 1 1 1 0 3F
0001 0 0 0 0 0 1 1 0 1 06
0010 0 1 0 1 1 0 1 1 2 5F
0011 0 1 0 0 1 1 1 1 3 4F
0100 0 1 1 0 0 1 1 0 4 66
0101 0 1 1 0 1 1 0 1 5 6D
0110 0 1 1 1 1 1 0 0 6 7C
0111 0 0 0 0 0 1 1 1 7 07
1000 0 1 1 1 1 1 1 1 8 7F
1001 0 1 1 0 0 1 1 1 9 67
1010 0 1 1 1 0 1 1 1 A 77
Luego 0se procede
1011 1 1 1a generar
1 1 el 0 archivo
0 b.hex en7Cel software HxD
1100 0 0 1 1 1 0 0 1 C 39
1101 0 1 0 1 1 1 1 0 d 5E
1110 0 1 1 1 1 0 0 1 E 79
1111 0 1 1 1 0 0 0 1 F 71
Finalmente se sube en el siguiente circuito

R5
R1 R2 R3 R4 220
220 220 220 220
U1
10 A0 11
9 A1 D0 D1
8 A2
7 A3
6 A4
5 A5
4
3

FUNCIONAMIENTO:
Los 4 primeros switches ( de izquierda a derecha) activan las direcciones del bus de
datos, cuando la dirección sea de 0001, en el display se visualizara el numero 1,
cuando la dirección sea 0010, en el display se visualizara el numero 2 y asi
sucesivamente.
Sin embargo, el problema pide que se agrege un nuevo swtich ( S ) el cual cumple con
la siguiente función:
-Cuando este cerrado, en el pin A4 del EEPROM entrara un 0, en ese momento solo
permitirá un conteo de 0 al 9 .
- Cuando este abierto, en el pin A4 del EEPROM entrara un 1, en ese momento se
permitirá un conteo hexadecimal de 0-F.
Lo veremos a continuación:
Se ve que el switch S esta cerrado (en A4 entra un 0). El circuito perm

R5 R1R2R3R4 220
220220220220
U1
10 A0 A1 A2 A3 A4 A5 A6 A7 A8
11 A9 A10 A11 A12 A13 A14
D0 D1 D2 D3 D4 D5 D6 D7
9 12
8 13
7 15
6 16
5 17
4 18
3 19
25
24
21
23
2
26
27

20
CE OE VPP

S
22
27C256
1
Pero cuando se intenta introducir un 10 o A en hexadecimal:
El switch S sigue cerrado, pero se ve que al int
11,12,13,14 o 15
R5
220
U1 el bus de salida se desactiva
R1 R2 R3 R4 10 A0
D0 D1
11
220 220 220 220 9 A1
8 A2
7 A3
6 A4
5
4

Ahora se abre el switch S para que en el pin A4 entre un “1” lógico.

Se verifica que con S abie


R5
R1R2 R3R4 220
220220 220220
U1
D0 11 10 A0
D1 12 9 A1
D2 13 8 A2
D3 15 7 A3
D4 16 6 A4
D5 17 5 A5
D6 18 4 A6
D7 19 3 A7
25 A8
24 A9
21 A10
23 A11
2 A12
26 A13
27 A14

20 CE
22 OE
1 VPP
27C256

Si se intenta un 14 o E hexadecimal:

R5
220
U1
R1 R2 R3 R4 10 A0 A1 A2 A3 A4 A5 A6 A711
A8 A9 A10 A11 A12 A13 A14
D0 D1 D2 D3 D4 D5 D6 D7
220 220 220 220 9 12
8 13
7 15
6 16
5 17
4 18
3 19
25
24
21
23
2
26
27

CE

S
22 OE VPP
20 1 27C256
3. Con la ayuda de un circuito contador binario descendente, una memoria
EPROM (cualquiera que acepte el programador) y un display, diseñar un circuito
que despliegue el siguiente mensaje: Sist. Digitales 1.

a) Elija la memoria EEPROM adecuada en el PROTEUS para el ejercicio


b) Programe la memoria mediante el siguiente software HxD generando un archivo
*.hex
c) Simule en circuito en Proteus

Procedimiento:
Se usaran los mismos componentes que los ejercicios anteriores.

 Se diseña la tabla para definir el comportamiento del display


Direccion p g f e d c b a Letra Hex Hex
Teniendo los valores hexadecimales, podemosAsc.
usar elDesc,
software HxD para exportar el
0000 0 1 1 0 1 1 0 1 S 6D 6D
0001 0 0 0 0 0 1 1 0 I 06 86
0010 0 1 1 0 1 1 0 1 S 6D 00
0011 1 0 1 1 0 0 0 1 t. B1 6D
0100 0 0 0 0 0 0 0 0 00 79
0101 0 1 0 1 1 1 1 0 d 5E 38
0110 0 0 0 0 0 1 1 0 I 06 77
0111 0 1 1 0 1 1 1 1 g 6F 31
1000 0 0 0 0 0 1 1 0 I 06 06
1001 0 0 1 1 0 0 0 1 T 31 6F
1010 0 1 1 1 0 1 1 1 A 77 06
1011 archivo .hex
0 que
0 1se usara.
1 1 0 0 0 L 38 5E
1100 0 1 1 1 1 0 0 1 E 79 00
1101 0 1 1 0 1 1 0 1 S 6D B1
1110 0 0 0 0 0 0 0 0 00 6D
1111 1 0 0 0 0 1 1 0 1. 86 06
 Creamos el circuito

U4 U3
15D0 3 10 A0 A1 A2 A3 A4 A5 A6 A7 A8
11A9 A10 A11 A12 A13 A14
1D1 Q0 Q1 Q2 Q3 D0 D1 D2 D3 D4 D5 D6 D7
2 9 12
10D2 6 8 13
9D3 7 7 15
6 16
5 17
5 12
TCU TCD
UP DN PL MR 4 18
4 13
74193 3 19
11
25
14
24
21
23
2
26
27

20
CE OE VPP
22
27C256
1

El generador de pulsos va conectado al down del contador, según lo que pide el


problema

El resultado es el siguiente:
CONCLUSIONES:

 Las memorias EPROM son perfectas para el aprendizaje del alumno, ya que pueden
grabar y borrar información cuantas veces se necesite, cosa que con una RAM o
ROM no se podría.
 Las memorias EPROM aceptan codificación binaria o hexadecimal.

RECOMENDACIONES:

 En la realidad se necesitaría luz ultravioleta para poder borrar información de la


EPROM en caso de equivocarse en la grabación, esto se consigue con una
maquina especial o exponerlo al sol durante buen tiempo, en cualquier caso el
principal problema seria el tiempo.

También podría gustarte