Está en la página 1de 3

Instituto Tecnológico de Santo Domingo (INTEC)

Clave: IEC208L

Sección: 4

Tema:

Implementar en VHDL la ALU

Nombre

Gian Susana Sánchez

ID:1099881

Asignatura: LAB. FUNDAMENTOS ELECTRÓNICA DIGITAL

Nombre del profesor/a: YOBANY DIAZ ROQUE


Objetivo: la ALU

Procedimiento

ALU

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
--Necesaria para el operador &
use IEEE.std_logic_unsigned.all;
---------------------------------------------
ENTITY ALU IS
PORT (--Asociadas al indicador de Flag.
sCLK, sResetL, sIdFlag: IN std_logic;
sFlag: OUT std_logic;
--Asociadas al Multiplexor.
sInALU: IN std_logic;
svEntradaB:IN std_logic_vector(3 DOWNTO 0);
--Asociadas a la ALU.
sOpALU: IN std_logic;
svEntradaA:IN std_logic_vector(3 DOWNTO 0);
svResultado:OUT std_logic_vector(4 DOWNTO 0));
END ALU;
Arquitectura:
ARCHITECTURE ALUArch OF ALU IS
--Señales internas del Sistema
signal svRealB: std_logic_vector(3 DOWNTO 0);
signal sZero: std_logic;
BEGIN
--Descripción del proceso concurrente asociado
--al funcionamiento del bloque Multiplexor.
Mux:PROCESS (svEntradaB, sInALU)
BEGIN
IF (sInALU = '0')
THEN svRealB <= svEntradaB;
--Asignación de 0 a un vector con OTHERS.
ELSE svRealB <= (OTHERS => '0');
END IF;
END PROCESS Mux;

También podría gustarte