Está en la página 1de 102

MÓDULO 1:

ELECTRÓNICA DIGITAL

MÓDULO 1:
ELECTRÓNICA DIGITAL
Ingeniería de Microsistemas Programados S.L.

MÓDULO 1: ELECTRÓNICA DIGITAL


INDICE
BIBLIOGRAFIA

RELACIÓN DE MATERIALES

TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS

Práctica 1: El Inversor (NOT)


Práctica 2: Las puertas AND, OR, NAND, NOR y EOR
Práctica 3: Teoremas de Morgan
Práctica 4: Otros tipos de puertas lógicas
Práctica 5: Familias lógicas
Práctica 6: Circuitos de entretenimiento
Práctica 7: Automatismo combinacional
Práctica 8: Otro automatismo

TEMA 2: CIRCUITOS COMBINACIONALES

Práctica 1: Decodificadores
Práctica 2: El display de 7 segmentos
Práctica 3: Decodificador BCD a 7 segmentos
Práctica 4: Multiplexores
Práctica 5: El sumador
Práctica 6: El restador
Práctica 7:Sumador con corrección a BCD
Práctica 8: Circuitos comparadores

TEMA 3: CIRCUITOS SECUENCIALES

Práctica 1: Báscula R-S asíncrona


Práctica 2: Báscula R-S síncrona
Práctica 3: Báscula tipo D
Práctica 4: Báscula J-K
Práctica 5: Entretenimiento: alarma por rotura de un conductor
Práctica 6: Entretenimiento: “El más rápido”
Práctica 7: Registros
Práctica 8: Registros de desplazamiento
Práctica 9: Contadores binarios
Práctica 10: Contador UP/DOWN con precarga
Práctica 11: La década
Práctica 12: El contador Johnson
Práctica 13: Entretenimiento: contador con visualización
Práctica 14: Entretenimiento: quiniela electrónica
Ingeniería de Microsistemas Programados S.L.

Práctica 15: Entretenimiento: el dado electrónico

ANEXO: REPASO PRÁCTICO DE MEDIDAS Y CONCEPTOS BÁSICOS


TEMA 0: INTRODUCCIÓN A LA ELECTRÓNICA

Práctica 1: Componentes pasivos y medidas


Práctica 2: Componentes activos I (Diodos)
Práctica 3: Componentes activos II (Transistores)
BIBLIOGRAFIA

Se presenta una interesante lista de libros relacionados con la Electrónica Digital objeto del presente módulo. En ella se proporciona el título de la obra, el autor y la editorial así
como una breve descripción de contenidos.

“Electrónica Digital Moderna” ( con disquete); Angulo, J.Mª, Editorial ITP Paraninfo

Se trata de un libro de texto clásico seguido en muchas Escuelas de Ingeniería y Centro de Formación Profesional.

Presenta de una forma clara y muy asequible los principios de la Lógica Digital, la implementación de sus funciones en circuitos integrados, los sistemas digitales secuenciales y
combinacionales. Contiene valiosa información con hojas de características técnicas de los circuitos digitales típicos.

En el aspecto práctico, además de contener una serie de prácticas en cada tema, que se pueden realizar fácilmente con elementos muy comunes y económicos, añade un disquete
con una versión didáctica del famoso simulador Workbench, con el que se puede analizar y experimentar numerosos ejercicios y proyectos.

“Introducción a los computadores” (con disquete); Angulo, J.Mª, Editorial ITP Paraninfo

Presenta de forma agradable y completa la Electrónica Digital que se aplica en los Computadores y combinada con una serie de ejercicios y problemas resueltos. Se profundiza en
la implementación de los bloques del computador y se describe el diseño, funcionamiento y manejo de un procesador básico, como la “Máquina Sencilla”.

La segunda parte de la obra se destina a “Prácticas de Laboratorio” en donde se contemplan experiencias con diodos, transistores y circuitos integrados, así como diversos proyectos
de sistemas digitales.

La tercera parte, está apoyada en el disquete que acompaña al libro y contiene una detallada descripción con ejemplos de aplicación para la captura y simulación de circuitos digitales
asistidos por computador mediante el programa “Electronics Workbench”.

“Enciclopedia de Electrónica Moderna” (7 tomos); Angulo, J. Mª, Editorial ITP Paraninfo

A lo largo de los 7 tomos que consta la obra el autor expone, para un lector autodidacta, toda la Electrónica Moderna, desde los diodos semiconductores hasta los microprocesadores.
Para que el lector se habitúe a trabajar con los elementos electrónicos que se explica se propone en cada sección numerosas experiencias con dispositivos e instrumentos fáaciles
de encontrar y económicos.

“Principios de Electrónica”; Malvino, Editorial McGraw-Hill

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
Se trata de un excelente libro teórico que presenta con gran rigor las bases de la electrónica, recayendo en los transistores y en los Amplificadores Operacionales los temas que
reciben un tratamiento exhaustivo y con muchos ejercicios y problemas resueltos.

“Curso Práctico de Microelectrónica y Microinformática Industrial; Angulo, J.Mª, Editorial ITP Paraninfo

Es un libro de prácticas organizado en fichas de laboratorio, que van presentando de forma clara los objetivos, los materiales necesarios y el desarrollo de cada práctica.

Va cubriendo temas sobre diodos, transistores, circuitos integrados, arquitectura del PC y programas de E/S para aplicaciones industriales.

“Sistemas Digitales”; Tocci, Editorial Prentice-Hall

Una obra completa y muy bien presentada que realiza un repaso pormenorizado sobre todos los temas teóricos que conforman la Electrónica Digital. Finaliza con una aplicación
de los sistemas digitales en los modernos computadores. Tiene ejemplos y problemas, pero carece de prácticas experimentales.

RELACION DE MATERIALES
Accesorios Semiconductores y Circuitos Integrados

• Entrenador “UNIVERSAL TRAINER” • 1 Diodo 1N4007


• Cable rígido de 0.6 mm • 1 Diodo LED rojo
• 1 Transistor BC547
Resistencias de 1/4 W • 1 Integrado 4011
• 1 Integrado 4017
• 1 de 330 Ω • 1 SN7400
• 2 de 1K • 1 SN7402
• 1 de 2K2 • 1 SN7404
• 2 de 10K • 2 SN7408
• 1 de 100K • 1 SN7414
• 2 de 1M • 1 SN7432
• 1 SN7447
Condensadores • 2 SN7476
• 1 SN7485
• 2 de 100nF • 1 SN7486
• 2 de 1µF/25V • 1 SN74LS90
• 1 de 10µF/25V • 1 SN74126
• 1 de 100µF/25V • 1 SN74139
• 1 de 1000µF/25V • 1 SN74169
• 2 SN74173
• 1 SN74258
• 2 SN74LS283
• 1 SN74373
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 0: INTRODUCCION A LA ELECTRONICA 0/1 – 1

PRÁCTICA 1: Componentes pasivos y medidas


IMPORTANTE: El tema 0 sólo está destinado a repasar prácticamente algunos conceptos
fundamentales en Electrónica.

1.1 Objetivos
Familiarizarse con los componentes pasivos más
comunes y con la medición de sus valores
característicos.

1.2 Fundamentos teóricos:


Las resistencias
Una resistencia es un componente que se opone al paso de la
corriente. Su valor determina la magnitud de esa oposición y se
mide en ohmios (Ω). Una forma de obtener dicho valor es a partir
de sus bandas de color, utilizando una tabla de códigos.
FIGURA 1.1. Diferentes tipos de resistencias.

NUMERO DE LA BANDA
1 2 3 (X) 4
PLATA - - 0,01 10%
ORO - - 0,1 5%
NEGRO 0 0 1 -
MARRON 1 1 10 1%
ROJO 2 2 100 2%
NARANJA 3 3 1.000 -
FIGURA 1.2. Esquema de las bandas de una resistencia. La más alejada
AMARILLO 4 4 10.000 -
indica la tolerancia. VERDE 5 5 100.000 -
AZUL 6 6 1.000.000 -
1.3 Materiales necesarios VIOLETA 7 7 - -
GRIS 8 8 - -
BLANCO 9 9 - -
1º Resistencias de diversos valores
NINGUNO - - - 20%
2º Tabla de códigos
FIGURA 1.3. Tabla de códigos de color.

1.4 Desarrollo de la práctica


Colocar la resistencia como en la Figura
Ingeniería de Microsistemas Programados S.L.

1.2, es decir, con la banda ligeramente


separada en el lado derecho. Sustituir las
bandas de izquierda a derecha por valores
según la tabla de la figura 1-3.

FIGURA 1.4. Ejemplo de


obtención del
valor de una resistencia.
PRÁCTICA ELECTRÓNICA DIGITAL
0/1 – 2 TEMA 0: INTRODUCCION A LA ELECTRONICA

PRÁCTICA 1: Componentes pasivos y medidas


1.5 Trabajo personal
Coge resistencias de diferentes valores y rellena la siguiente tabla.

Colores de las resistencias Valores según código

1.6 Fundamentos teóricos: los condensadores

Básicamente los condensadores están formados por


dos placas metálicas (armaduras) separadas por un
aislante (dieléctrico).

Existe una gran variedad de modelos.

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
FIGURA 1.5. Diferentes tipos de condensadores y un circuito que los reúne.

Los condensadores tienen la característica de cargarse cuando se les aplica una tensión continua y, al desaparecer
ésta quedar almacenada durante un tiempo para poder descargar a continuación su energía sobre otro componente.
Su valor principal es, por tanto, la capacidad de carga, que se mide en Faradios (F).

1.7 Fundamentos teóricos:


el tester
CAP NP CAPACITOR POL

CAPACITOR VAR CAPACITOR FEED

FIGURA 1.6. Formas de respesentar los condensadores según el tipo.

Es un instrumento que se utiliza para medir las señales


eléctricas a fin de determinar el voltaje (tensión) y la corriente
(intensidad) en un circuito, y los valores característicos de
componentes. El aspecto de un téster es el que se presenta
FIGURA 1.7.
en la Figura 1.7. Cambiando las sondas entre los diferentes Fotografía de un
conectores y seleccionando la escala adecuada se consiguen téster estándar.
las diferentes medidas.
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 0: INTRODUCCION A LA ELECTRONICA 0/1 – 3

PRÁCTICA 1: Componentes pasivos y medidas

1.8 Materiales necesarios 1.9 Comprobación de funcionamiento


Realiza los siguiente pasos para comprobar el correcto
funcionamiento de tu téster.
1º Téster
2º Resistencias de diversos valores a) Enciéndelo. ¿Aparece el dibujo de una pila en la pantalla
3º 1 pila de 1,5 V LCD? ___________________________________________
4º 1 pila de 4,5 V Si es así debes cambiar la pila interna del téster, de 9V, ya
5º 1 pila de 9 V que está gastada.

b) Coloca la sonda negra en COM y la roja en V.

c) Mueve la ruleta de selección a la posición del altavoz.

d) Junta las puntas de prueba. ¿Qué ocurre? ___________

________________________________________________

Si se produce un pitido significa que existe continuidad


en el elemento medido. La misma operación tocando con
las puntas de prueba los dos extremos de una resistencia
debería dar el mismo resultado si la resistencia está en
perfecto estado.

FIGURA 1.8. Medida de continuidad con el téster.

1.10 Medición de resistencias


Es el segundo modo de obtener el valor de una resistencia. Para que éste sea correcto debe estar fuera del circuito.

Se deberán seguir los siguientes pasos:

a) Colocar la sonda roja en V y la negra en COM.

b) Seleccionar la escala de ohmios adecuada.

c) Tocar los extremos de la resistencia con las puntas de prueba.

d) Interpretar el valor según la escala elegida. Un (1.) significará que ésta escala es pequeña.
Ingeniería de Microsistemas Programados S.L.

FIGURA 1.9. Forma correcta


de hacer una medición.
PRÁCTICA ELECTRÓNICA DIGITAL
0/1 – 4 TEMA 0: INTRODUCCION A LA ELECTRONICA

PRÁCTICA 1: Componentes pasivos y medidas

1.11 Prueba de baterías


La posición de las sondas y del selector de escala varían según la pila a medir. Los pasos a realizar serán:

a) Colocar la sonda negra en COM y el selector en la posición correspondiente. Hay algunos téster que tienen posiciones
específicas para medir baterías. Si no es así, colocar en la escala de voltios adecuada.

b) Para las pilas de 4,5V y 9V colocar la sonda roja en VW y para la pila de 1,5V colocarla en mA.

c) Medir las pilas colocando las puntas de prueba en los terminales. Si aparece un signo menos (-) delante del valor
significa que se han colocado las puntas de prueba en los terminales contrarios.

1.12 Medición de la fuente


de alimentación
Las baterías medidas anteriormente proporcionan una
tensión continua pero de tiempo limitado, ya que “se
gastan”. En este apartado se va a proponer la medición
de valores también de tensión pero proporcionados
por fuentes de alimentación ilimitadas.

a) Busca en el equipo de prácticas la zona dedicada


a las fuentes de alimentación.

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
b) Habrá que colocar en el téster las sondas y el selector
en las posiciones adecuadas según la fuente que se
vaya a medir, teniendo en cuenta que algunas fuentes
FIGURA 1.10. Tres tipos de baterías.
son de corriente alterna.

c) Para medir las fuentes la punta de prueba negra


1.13 Medición de tensión deberá conectarse a un terminal GND y la punta de
Para medir la tensión entre dos puntos en un circuito que prueba roja a aquel que se quiera medir, tal y como
se haya en funcionamiento se seguirán los siguientes pasos: muestra la Figura 1.11.
a) Elegir entre valores de continua (DC) o de alterna (AC).
b) Se situarán las puntas de prueba en los dos extremos de
la parte del circuito cuya caída de tensión se quiera medir,
es decir, en paralelo con los elementos a medir. Hay que
tener en cuenta que tanto la tensión como la corriente son
vectores, es decir, tienen magnitud y sentido. Por tanto, hay
que conectar adecuadamente los bornes positivo y negativo
para que el valor sea verdadero.
c) Ajustar el selector a la escala adecuada e interpretar el
dato.

FIGURA 1.11. Medición del voltaje suministrador ppor una fuente de


alimentación.

FIGURA 1.12. Forma de medir tensión. Paralelo.


ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 0: INTRODUCCION A LA ELECTRONICA 0/1 – 5

PRÁCTICA 1: Componentes pasivos y medidas


1.14 Medida de intensidad. ATENCIÓN
Así como el mal uso del téster para medir voltajes
sólo da como consecuencia la mala obtención del
valor medido, si al medir intensidades no se hace
adecuadamente pueden ocasionarse averiás en el
instrumento.

Afortunadamente los multímetros están preparados


para este mal uso y hacen saltar un fusible en dicho
caso, pero a partir de ese momento, si no se cambia
el fusible, las mediciones de intensidad no serán
correctas. Por tanto, sigue con atención estos pasos
al ir a medir intensidad:

a) La sonda roja debe estar en el conector de


intensidad, ya sea mA o A. Si no, el téster se estropea.

b) La medición en este caso será en serie, es decir,


hay que abrir el circuito donde se quiere medir la
corriente que circula en ese momento, y hacer con FIGURA 1.13. Forma de medir intensidad. Serie.
el téster un puente entre los dos extremos sin
conectar.

1.15 Trabajo personal


a) Vuelve a rellenar la siguiente tabla de valores de resistencias con el añadido del valor medido con el téster.

Colores de las resistencias Valores según código Valores según téster

b) Coge de nuevo las resistencias y mídelas, tocando al medir con ambas manos. ¿Notas diferencia en los valores? ______
_____________________________¿A qué crees que es debido? _________________________________________________
_____________________________________________________________________________________________________
c) Coge cualquier circuito en el que aparezcan resistencias y, sin sacarlas, mide su valor. ¿Coincide con el que muestra su
código de colores? ____________________________¿Por qué? _________________________________________________
d) ¿Qué diferencia hay entre medir el valor en ohmios de una resistencia y la caída de tensión de una resistencia? ______
_____________________________________________________________________________________________________
Ingeniería de Microsistemas Programados S.L.

e) Mide ahora las tres baterías de que dispones.

Tipos de batería Valor en Voltios


1,5V
4,5V
9V
PRÁCTICA ELECTRÓNICA DIGITAL
0/1 – 6 TEMA 0: INTRODUCCION A LA ELECTRONICA

PRÁCTICA 1: Componentes pasivos y medidas


¿Son sus valores correctos? _________________________________________________________________________
f) Mide los valores de voltaje suministrados por las diferentes fuentes de alimentación y anótalos en la tabla.

Tipos de fuente de alimentación Valor en Voltios


12VAC
+12Vdc
-5Vcc
+V
-V

ANOTACIONES PERSONALES

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 0: INTRODUCCION A LA ELECTRONICA 0/2 – 1

PRÁCTICA 2: Componentes activos I (diodos)

2.1 Objetivos
Conocer el comportamiento del diodo y comprobar su funcionamiento con pequeños circuitos prácticos.

2.2 Fundamentos teóricos: el diodo y su comportamiento


Un diodo es un dispositivo eléctrico semiconductor cuyo comportamiento es no lineal; la resistencia entre la
entrada y la salida depende de la tensión aplicada. Así, hasta un determinado valor llamado umbral el diodo
no conduce y pasado ese umbral el diodo conduce cuando está polarizado directamente. Esto en la práctica del
campo digital se reduce a un comportamiento con dos posibles estados: conduce/no-conduce, ON/OFF,
encendido/apagado, etc. recordando a un interruptor que se abre o se cierra en determinadas circunstancias.

2.3 Materiales necesarios

ÁNODO (A) CÁTODO (K) 1º Téster


2º 1 diodo

FIGURA 2.1. Símbolo que representa a un diodo.

2.4 Desarrollo de la práctica

Para medir el valor óhmico de un diodo hay que tener en cuenta que es un dispositivo polarizado, de modo que la
polarización de sus terminales ánodo (A) y cátodo (K) determinará su comportamiento, según que su polarización
sea directa o inversa. Se deberán seguir los siguientes pasos:

a) Conectar la sonda roja en V y la negra en COM.

b) Seleccionar la función de medición de diodos.

c) Conectar las puntas de prueba al diodo a medir. Si la


conexión hace que coincidan la punta de prueba roja con
el ánodo (terminal positivo) y la punta de prueba negra (A) (K)
con el cátodo (negativo) se estará midiendo la resitencia
directa, y si no la inversa. En ambos casos el valor resultante
se medirá en ohmios.
FIGURA 2.2. Esquema del aspecto externo de un diodo. La marca indica el cátodo.

2.5 Trabajo personal Ω en directa Ω en inversa


Ingeniería de Microsistemas Programados S.L.

Diodo

Coge el diodo y realiza las siguientes operaciones:


a) Identifica el cátodo y el ánodo _______________________________________________________________
b) Mide su resistencia la resistencia directa e inversa _______________________________________________

Si el diodo está bien, el valor en directa debe ser baja y la inversa infinito (1.)
c) ¿Que sucede si en ambas mediciones el valor es infinito? _________________________________________
d) ¿Y si en ambas el valor es bajo? ______________________________________________________________
PRÁCTICA ELECTRÓNICA DIGITAL
0/2 – 2 TEMA 0: INTRODUCCION A LA ELECTRONICA

PRÁCTICA 2: Componentes activos I (diodos)


2.6 Circuitos con polarización directa e inversa
Una vez identificados los terminales realizar un circuito
con polarización directa consiste en hacer coincidir el ánodo
con el borne positivo de la fuente de alimentación y el
cátodo con el borne negativo, tal y como se muestra en la
Figura 2.3. Si al contrario, el ánodo coincide con el borne
negativo de la fuente y el cátodo con el positivo, se dice
que el diodo está polarizado inversamente. (Figura 2.4).

FIGURA 2.3. Circuito de polarización directa de un diodo.

FIGURA 2.4. Circuito de polarizacion inversa de un diodo.

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
1º Téster
2.7 Materiales necesarios 2º 1 diodo
3º 1 resistencia de 330Ω
4º Entrenador Universal Trainer

2.8 Trabajo personal


a) Monta el circuito de la Figura 2.3 en el Universal Trainer y rellena la siguiente tabla
Parámetro a medir Valor Unidad de medida
Corriente del circuito
Caída de tensión en el diodo
Caída de tensión de la resistecia

b) Monta ahora el circuito de la Figura 2.4 y vuelve a realizar las mediciones


Parámetro a medir Valor Unidad de medida
Corriente del circuito
Caída de tensión en el diodo
Caída de tensión de la resistecia

¿A qué es debida la diferencia de valores?_________________________________________________________

____________________________________________________________________________________________

2.9 Los diodos LED


Existe una modalidad de diodos que emiten luz al ser polarizados directamente; son los llamados diodos LED. Su
aspecto externo es algo diferente al del diodo normal, y también el modo en que se reconocen su ánodo y su cátodo.
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 0: INTRODUCCION A LA ELECTRONICA 0/2 – 3

PRÁCTICA 2: Componentes activos I (diodos)

2.10 Materiales necesarios 2.11 Desarrollo de la práctica

Antes de hacer cualquier montaje es necesario saber cómo


1º 1 diodo LED diferenciar los terminales de un LED. Se pueden reconocer:
2º 1 portaLED (Opcional) a) Montado sobre un portaLED el ánodo suele coincidir con
3º 1 resistencia de 330Ω el cable rojo y el cátodo con el cable negro.
4º Entrenador Universal Trainer b) Mirado externamente, si el diodo está aún sin usar, el
terminal del ánodo tiene una longitud mayor que el del
cátodo.
c) Mirado desde arriba, el cátodo coincide con la parte
achatada de la base de la carcasa. Es la representación que
se sigue en las placas de circuito impreso.
d) Si se mira a trasluz tiene un filamento más grande que
otro; el menor es el ánodo.

FIGURA 2.5. Aspecto externo de un diodo LED.


FIGURA 2.6. Esquema de un diodo LED.

2.12 Trabajo personal

Vamos a trabajar ahora con el diodo LED.


a) Identifica su ánodo y su cátodo.
b) ¿Cuál de las posibles formas te parece la más fiable? _______________________________________________________
¿por qué? _____________________________________________________________________________________________
c) Monta el circuito de la Figura 2.7. ¿Cómo está polarizado el diodo, de forma directa o inversa? ____________________
Ingeniería de Microsistemas Programados S.L.

d) Cambia la polaridad de la alimentación. ¿Puedes sacar alguna


conclusión? ___________________________________________
_____________________________________________________
e) ¿Por qué crees que se debe poner una resistencia en serie con
los diodos? ____________________________________________
_____________________________________________________
f) ¿Cómo se calcula el valor de dicha resistencia? ____________
FIGURA 2.7. Esquema eléctrico a montar. _____________________________________________________
0/2 – 4
PRÁCTICA

ANOTACIONES PERSONALES
ELECTRÓNICA DIGITAL
TEMA 0: INTRODUCCION A LA ELECTRONICA

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 0: INTRODUCCION A LA ELECTRONICA 0/3 – 1

PRÁCTICA 3: Componentes activos II (transistores)

3.1 Objetivos
Conocer y comprobar el comportamiento de los transistores y diferenciar sus tipos.

3.2 Fundamentos teóricos: el transistor y su comportamiento


FIGURA 3.1. Esquema interno de un transistor NPN y uno PNP.

El transistor es el dispositivo más utilizado en


Electrónica, tanto analógica como digital, debido
a su versatilidad, variedad, economía y fiabilidad.
Puede decirse que los transistores conforman las
puertas lógicas, que a su vez son la base de los
circuitos integrados, que juntos componen un
computador.

Su comportamiento es no lineal y tiene tres


terminales, que se denominan base, emisor y
colector. Por dentro un transistor funciona como
dos diodos enfrentados.

Simplificando, un transistor tiene dos modos de funcionamiento: en amplificación y en conmutación. El primer caso es
muy común en circuitos analógicos, mientras que el segundo lo es en circuitos digitales.

Dentro de la conmutación un transistor puede estar en corte o en saturación. Si tomamos un transistor de tipo NPN, cuando
la tensión de entrada en la base es de 5V el transistor estará en saturación. En este caso la unión base-emisor está polarizada
directamente y conduce. Por el contrario, si la tensión en la entrada es 0V el transistor estará en corte, la unión base-emisor
está polarizada inversamente y no conduce.

3.3 Materiales necesarios


3.4 Desarrollo de la práctica
1º Téster
2º 1 transistor
Lo primero es identificar el tipo de transistor. Se hará lo
3º 1 diodo LED siguiente:
4º 1 resistencia de 10 kΩ
5º 1 resistencia de 18Ω a) Introducir los tres terminales del transistor en la zona
6º 1 resistencia de 390Ω del téster dispuesto para ello y mover el selector a esa
7º Entrenador Universal Trainer posición.

b) Ir cambiando de lugar las patitas (sin retorcerlas entre


ellas) hasta que en la pantalla del téster aparezca un
valor.

c) El valor que aparece es la medición de la ganancia,


que es una magnitud adimensional.
Ingeniería de Microsistemas Programados S.L.

Al mismo tiempo, según dónde haya quedado cada


terminal, las inscripciones del téster estarán dando el
nombre de cada uno y si el transistor es de tipo NPN o
PNP.

FIGURA 3.2. Forma de hallar las características de un transistor.


PRÁCTICA ELECTRÓNICA DIGITAL
0/3 – 2 TEMA 0: INTRODUCCION A LA ELECTRONICA

PRÁCTICA 3: Componentes activos II (transistores)

3.5 Trabajo personal

Realiza las siguientes operaciones con el transistor usado:

a) Halla el valor de su ganancia e identifica sus terminales y su tipo. Dibújalo.

b) Monta el circuito de la Figura 3.3, que representa un transistor en conmutación


controlando un LED.

Dibujar aquí el transistor


indicando sus terminales

FIGURA 3.3. Transistor en conmutación


controlando un LED.

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
c) Haz trabajar al transistor en saturación introduciendo un 1 lógico (5V) en Vent. ¿Cómo está el LED, encendido o apagado?

d) ¿Y si le haces trabajar en corte introduciendo un 0 lógico (0V) en la base? _____________________________________


e) ¿A qué puerta lógica te recuerda este comportamiento? _____________________________________________________
f) ¿Qué ocurre si la entrada a la base queda al aire? __________________________________________________________
Relaciona lo observado con el comportamiento de un circuito TTL ______________________________________________
_____________________________________________________________________________________________________
_____________________________________________________________________________________________________
ANOTACIONES PERSONALES
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS 1/1 – 1

PRÁCTICA 1: El inversor (NOT)

1.1 Objetivos
Comprobar el comportamiento de la función lógica inversora NOT, en base a un circuito integrado TTL
modelo SN7404 que contiene seis puertas NOT.

1.2 Fundamentos teóricos básicos


La función lógica NOT es la más sencilla de las funciones. El nivel
lógico que presenta a su salida es el opuesto al de su entrada.

El dispositivo integrado SN7404 contiene seis inversores idénticos e


independientes entre sí. La figura 1-1 muestra el encapsulado de este
circuito así como sus conexiones.

FIGURA 1.1. Cápsula del integrado SN7404.

1.5 Montaje práctico


1.3 Esquema electrónico (figura 1-2) 1º Conectar la alimentación +5Vcc a la patilla 14

2º Conectar la tierra GND a la patilla 7

3º Unir el interruptor E0 con la patilla 1

4º La patilla 1 con el led S0

5º La patilla 2 con el led S1

FIGURA 1.2. Esquema electrónico para comprobar


el funcionamiento de una puerta NOT
Ingeniería de Microsistemas Programados S.L.

1.4 Materiales necesarios


• Entrenador “UNIVERSAL TRAINER”

• Circuito integrado SN7404 (6 inversores)

• Cables de conexión
FIGURA 1-3. Montaje práctico.

La fotografía de la figura 1-3 muestra la disposición


práctica del cableado en el Universal Trainer
PRÁCTICA ELECTRÓNICA DIGITAL
1/1 – 2 TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS

PRÁCTICA 1: El inversor (NOT)


1.6 Desarrollo de la práctica
Mediante el interruptor E0 se introducen los niveles lógicos de acuerdo a la E0 S0 S1
siguiente tabla de la verdad. El led S0 visualiza el nivel lógico de entrada y 0 0 1
el led S1 el de salida. 1 1 0

El diagrama de tiempos de la figura 1-4 es equivalente


a la tabla de la verdad anterior y también permite
determinar el funcionamiento de cualquier circuito
lógico.

FIGURA 1.4. Diagrama de tiempos


de la función NOT.

1.7 Trabajo personal


Considerando el ejemplo anterior, se propone montar el esquema de la figura 1-5. De igual forma, completar
la tabla de la verdad y el correspondiente diagrama de tiempos.

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
E0 S0 S1 S2
FIGURA 1.5. Esquema
0 propuesto. Completar la
1 tabla de la verdad.

Las figuras 1-6 y 1-7 muestran el aspecto del montaje práctico propuesto
así como el diagrama de tiempos a completar.

FIGURA 1.7. Diagrama de


tiempos a completar.

FIGURA 1.6. Aspecto del montaje propuesto

En la fotografía de la figura 1-8 se


muestra el conjunto de la práctica
montada sobre el entrenador
“UNIVERSAL TRAINER”
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS 1/2 – 1

PRÁCTICA 2: Las puertas AND, OR, NAND, NOR y EOR


2.1 Objetivos
Analizado el funcionamiento del inversor, se trata ahora de comprobar las 5 restantes funciones lógicas: AND,
OR, NAND, NOR y EOR (XOR)

2.2 Fundamentos teóricos básicos


La breve descripción que se detalla a continuación define de forma simplificada el funcionamiento de cada una
de esas puertas así como a la ecuación lógica a la que responden:

•AND: La salida es “1” cuando todas las entradas valen también “1”; Y = A • B

•OR: La salida es “1” cuando cualquier entrada vale “1”; Y = A + B

•NAND: La salida es “1” cuando cualquier entrada vale nivel lógico “0”; Y = A • B

•NOR: La salida es “1” cuando todas las entradas valen “0”; Y = A + B

•EOR: La salida es “1” cuando el número de entradas que estén a “1” sea impar; Y = A • B + A • B; Y = A + B

La figura 2-1 muestra los símbolos, cápsulas y distribución de patillas de los chips SN7408, SN7432, SN7400,
SN7402 y SN7486 que contienen respectivamente cuatro puertas AND, OR, NAND, NOR y EOR cada uno.

7408 (AND) 7432 (OR) 7400 (NAND)


7402 (NOR) 7486 (XOR)

FIGURA 2.1. Cápsulas y símbolos


de las cinco funciones lógicas
básicas

2.3 Esquema de montaje (figura 2-2)


Ingeniería de Microsistemas Programados S.L.

FIGURA 2.2. Esquema de montaje.


MSE
PRÁCTICA ELECTRÓNICA DIGITAL MICROSYSTEMS
1/2 – 2 TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS ENGINEERING

PRÁCTICA 2: Las puertas AND, OR, NAND, NOR y EOR


2.4 Materiales necesarios 2.5 Montaje práctico
• Entrenador “UNIVERSAL TRAINER”
1º.- Conectar la alimentación +5Vcc a la patilla 14
• Circuitos integrados: SN7400, SN7402, del SN7408.
SN7408, SN7432, SN7486
2º.- Conectar la tierra GND a la patilla 7.
• Cables de conexión
3º.- Unir el interruptor de entrada E0 con la patilla
1 del SN7408 y con el led S0.

4º.- Unir el interruptor de entrada E1 con la patilla


2 del SN7408 y con el led S1.

5º.- Conectar la patilla 3 de salida del SN7408 con


el led S2.

La fotografía de la figura 2-3 muestra la disposición


práctica del cableado sobre la board de montaje

FIGURA 2.3. Cableado sobre la board

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
2.6 Desarrollo de la práctica
Estamos experimentando con la puerta lógica AND
contenida en el dispositivo SN7408. La tabla de la
verdad a la que responde esta puerta se muestra
E0=S0 E1=S1 S2 a continuación.

0 0 0
0 1 0
1 0 0
1 1 1

De la misma forma el diagrama de tiempos que se


presenta en la figura 2-4, puede servir para demostrar,
una vez más, el funcionamiento de la AND ante
distintas variaciones de las señales presentes en sus
FIGURA 2.4. Diagrama de tiempos de la puerta AND.
entradas.

2.7 Trabajo personal

De la misma manera que se ha analizado el comportamiento de la función lógica AND, se sugiere hacer un
análisis similar con el resto de las puertas. Para ello hay que montar el correspondiente circuito integrado sobre
el entrenador, según los siguientes esquemas de la figuras 2-5, 2-6, 2-7 y 2-8. Proceder a verificar su
funcionamiento completando las respectivas tablas de la verdad.
MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS 1/2 – 3

PRÁCTICA 2: Las puertas AND, OR, NAND, NOR y EOR


FIGURA 2.5. La puerta OR.
E0=S0 E1=S1 S2
0 0
0 1
1 0
1 1

FIGURA 2.6. La puerta NAND.


E0=S0 E1=S1 S2
0 0
0 1
1 0
1 1

FIGURA 2.7. La puerta NOR.


E0=S0 E1=S1 S2
0 0
0 1
1 0
1 1

FIGURA 2.8. La puerta EOR.


E0=S0 E1=S1 S2
0 0
0 1
1 0
1 1

De igual forma se puede resolver el diagrama de tiempos, representando la salida de cada una de las puertas analizadas
en función de las dos señales de entrada E0 y E1. Figura 2-9.
Ingeniería de Microsistemas Programados S.L.

FIGURA 2.9. Diagramas de tiempos de las


diferentes puertas lógicas
MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS 1/3 – 1

PRÁCTICA 3: Teoremas de Morgan


3.1 Objetivos
Comprobar que, mediante una correcta aplicación de los teoremas de Morgan, se puede resolver cualquier
ecuación lógica y por lo tanto resolver cualquier automatismo, usando exclusivamente un único tipo de puerta
lógica: la NAND o la NOR.

3.2 Fundamentos teóricos básicos


Los teoremas de Morgan sirven para transformar sumas en productos o viceversa y pueden llegar a tener una
gran importancia dado que todas las operaciones lógicas se pueden resolver con un mismo tipo de puerta.

1er Teorema

“La inversa de una suma lógica de dos o más variables de entrada, equivale al producto lógico de los inversos
de dichas variables”

E0 + E1 = E0 • E1

La siguiente tabla de la verdad trata de demostrar lo dicho en el primer teorema.

E0 E1 E0 E1 E0+E1 E0+E1 E0 • E1
0 0 1 1 0 1 1
0 1 1 0 1 0 0
1 0 0 1 1 0 0
1 1 0 0 1 0 0

2er Teorema

“La inversa de un producto lógico de varias variables de entrada, equivale a la suma lógica de las inversas
de dichas variables”

E0 • E1 = E0 + E1

Su demostración viene dada en la siguiente tabla

E0 E1 E0 E1 E0 • E1 E0 • E1 E0 + E1
0 0 1 1 0 1 1
0 1 1 0 0 1 1
1 0 0 1 0 1 1
1 1 0 0 1 0 0

3.3 Esquema electrónico


Ingeniería de Microsistemas Programados S.L.

Se trata de un conjunto de cuatro sencillos circuitos


independientes que, mediante funciones NOR,
implementan las distintas operaciones lógicas. Se
muestran en las figuras 3-1, 3-2, 3-3 y 3-4

FIGURA 3.1. Función NOT


E0 + E0 = E0
MSE
PRÁCTICA ELECTRÓNICA DIGITAL MICROSYSTEMS
1/3 – 2 TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS ENGINEERING

PRÁCTICA 3: Teoremas de Morgan

FIGURA 3.2. Suma negada E0 + E1 = E0 • E1 FIGURA 3.3. Producto E0 + E1 = E0 • E1

3.4 Materiales necesarios

• Entrenador “UNIVERSAL TRAINER”.


• Circuito integrado SN7400 (4 puertas NAND de dos entradas).

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
FIGURA 3.4. Suma E0 + E1 = E0 + E1
• Circuito integrado SN7402 (4 puertas NOR de dos entradas).
• Cables de conexión.

1º.- Conectar la alimentación +5Vcc a la patilla 14 del SN7402.


3.5 Montaje práctico
2º.- Conectar la tierra GND a la patilla 7 del SN7402.
3º.- Unir el interruptor E0 con la patilla 2.
4º.- Unir la patilla 2 con la 3.
5º.- La patilla 1 se conecta con el led de salida S0.
6º.- Repetir el montaje para los tres restantes circuitos: suma negada, producto y suma.

3.6 Desarrollo de la práctica

En la medida que se vayan montando cada uno de los cuatro circuitos que demuestran el 1er teorema de Morgan, se deben
comprobar que las tablas de la verdad de cada uno de ellos coinciden con las aquí expuestas.

Prestar atención a los interruptores E0 y E1 de entrada de forma que se introduzcan los niveles lógicos apropiados para
cada caso.

Función NOT Suma negada

E0 E1 E0+E1 E0 • E1
E0 E0 + E0 E0 0 0 1 1
0 1 1 0 1 0 0
1 0 0 0
1 0 0
1 1 0 0
MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS 1/3 – 3

PRÁCTICA 3: Teoremas de Morgan


Producto Suma

E0 E1 E0 + E1 E0 • E1 E0 E1 E0 + E1 E0 + E1 E0 + E1
0 0 0 0 0 0 1 0 0
0 1 0 0 0 1 0 1 1
1 0 0 0 1 0 0 1 1
1 1 1 1 1 1 0 1 1

3.7 Trabajo personal


Montar los siguientes circuitos de las figuras 3-5, 3-6, 3-7 y 3-8. Completar las correspondientes tablas de la
verdad. Con ello se verifica el 2º teorema de Morgan.

FIGURA 3.5.
Función NOT.

E0 E0 • E0 E0
0
1

FIGURA 3.6.
Producto invertido.
E0 E1 E0 • E1 E0 + E1
0 0
0 1
1 0
1 1

FIGURA 3.7.
Suma.
E0 E1 E0 • E1 E0 + E1
0 0
0 1
1 0
1 1
Ingeniería de Microsistemas Programados S.L.

FIGURA 3.8.
Producto.
E0 E1 E0 • E1 E0 • E1 E0 • E1
0 0
0 1
1 0
1 1
MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS 1/4 – 1

PRÁCTICA 4: Otros tipos de puertas lógicas


4.1 Objetivos

Dar a conocer y experimentar con otro tipo de dispositivos integrados que, además de realizar algunas de las funciones
lógicas ya conocidas, presentan una serie de características eléctricas y de funcionamiento necesarias en determinados casos
y aplicaciones.

4.2 Fundamentos teóricos básicos

Efectivamente, modificando la estructura y construcción de ciertos dispositivos, se consiguen funciones lógicas con algunas
características y particularidades un tanto especiales, que se hacen imprescindibles en múltiples ocasiones:

4.2.1 Puertas Buffer


Además de realizar una determinada función lógica, la corriente de salida es amplificada con objeto de poder controlar
cargas que así lo requieran. Un ejemplo lo podemos encontrar en el dispositivo integrado SN74S37. Contiene en su interior
4 funciones NAND de dos entradas y con una distribución de patillas idéntica a la del dispositivo integrado SN7400. La
diferencia está en que cada puerta puede proporcionar a su salida una corriente de hasta 60mA a nivel bajo.

4.2.2 Puertas en colector abierto


La salida de cada puerta lógica que contiene el dispositivo, debe ser conectada, mediante una resistencia pull-up, al positivo
de alimentación a modo de carga. Es posible controlar salidas con niveles altos de tensión. Como ejemplo puede servir el
dispositivo integrado SN7406. Contiene 6 inversores con una distribución de pines similar al SN7404 ya estudiado. Sin
embargo, la salida a nivel lógico “1”, puede llegar a alcanzar del orden de los 30V, siempre que se conecte la salida a dicha
tensión mediante la resistencia ya mencionada. Ver la figura 4-1.

FIGURA 4.1. Conexión de la resistencia pull-up a la salida de una puerta con colector abierto.

4.2.3 Puertas adaptadoras de nivel


Son puertas que permiten adaptar los niveles de tensión que hay entre las diferentes familias lógicas, pudiéndose así crear
una cierta compatibilidad entre puertas de las distintas familias. Un ejemplo podría ser el dispositivo MAX232. Permite
Ingeniería de Microsistemas Programados S.L.

adaptar niveles lógicos TTL a niveles RS-232, donde las tensiones pueden ser de –3 a –12V para el nivel “1” y de +3 a +12V
para el nivel lógico “0”

4.2.4 Puertas Schmitt-Trigger


Este tipo de puertas reaccionan y, cumplen con su función lógica, cuando la señal presente en las entradas alcanzan un
valor de tensión suficiente. Dicha tensión es conocida como “tensión de disparo”. Cuando la señal de entrada supera un
valór mínimo VT+, se considera que dicha entrada está a “1”. Cuando la señal de entrada diminuye por debajo de un valor
VT- se considera que está a “0”. Es posible por tanto dar forma a aquellas señales que, por el motivo que sea, llegan
deformadas o atenuadas a las entradas de estas puertas Trigger. La figura 4-2 muestra la señal de salida que genera el
inversor Trigger del dispositivo SN7414 como respuesta a la señal de entrada.
MSE
PRÁCTICA ELECTRÓNICA DIGITAL MICROSYSTEMS
1/4 – 2 TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS ENGINEERING

PRÁCTICA 4: Otros tipos de puertas lógicas

FIGURA 4.2. Respuesta del Trigger SN7414 a una señal de entrada.

La figura 4-3 muestra el encapsulado del dispositivo Schmitt


Trigger SN7414 objeto de la presente práctica. Contiene 6
puertas Schmitt Trigger independientes que realizan la
función lógica NOT.

FIGURA 4.3. El dispositivo Schmitt Trigger SN7414.

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
4.2.5 Puertas de tres estados
Algunos dispositivos contienen funciones lógicas con la posibilidad de que sus salidas queden desconectadas
eléctricamente respecto al resto del circuito. Se dice que la salida de esa función está en estado de alta impedancia
(Z). Eléctricamente dicha salida está aislada del resto de la función.

El gobierno de ese tercer estado de alta impedancia (Z) se realiza mediante una señal de entrada adicional que posee
la función lógica de este tipo de dispositivos. Mediante esta señal se activa o desactiva dicho estado, haciendo que
la salida de la puerta lógica presente el nivel lógico apropiado de salida o bien que dicha salida quede aislada.

La figura 4-4 nos muestra el diagrama de conexiones y la tabla de la verdad del dispositivo SN74126. Consiste en
cuatro puertas de tres estados que realmente no realizan función lógica alguna. Gobernando sus respectivas señales
de control se consigue que a la salida de cualquiera de las puertas esté presente la información de su correspondiente
entrada o bien que dicha salida quede aislada, en alta impedancia, respecto a su entrada.

Figura 4-4. Diagrama de conexiones


y tabla de la verdad del dispositivo
SN74126

Gn An Yn
0 0 Z
0 1 Z
1 0 0
1 1 1
MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS 1/4 – 3

PRÁCTICA 4: Otros tipos de puertas lógicas


Los sistemas tri-estado, debidamente controlados,
permiten canalizar información digital procedente de
diversas fuentes a un único destino, evitando los
problemas eléctricos y cortocircuitos que de ello pudiera
derivarse. Ver la figura 4-5.

C2 C1 C0 SALIDA
0 0 0 Alta Impedancia (Z)
0 0 1 E0
0 1 0 E1
1 0 0 E2
1 1 X Prohibido
1 X 1 Prohibido
X 1 1 Prohibido
1 1 1 Prohibido

FIGURA 4.5. Distribución de tres canales de entrada sobre una salida tri-estado.

4.3 Esquema electrónico

Se muestra en la figura 4-6. Consiste en un circuito


multivibrador capaz de generar una señal de onda
cuadrada de una determinada frecuencia.

FIGURA 4.6. Circuito multivibrador.

4.4 Materiales necesarios

• Entrenador “UNIVERSAL TRAINER” 4.5 Montaje práctico


• Circuito integrado SN7414 (6 NOT Schmitt Trigger) El funcionamiento se basa en el efecto de carga y descarga
del condensador C1. En efecto, el condensador empieza a
•Circuito integrado SN74126 (4 puertas de tres
estados) cargarse a través de R1. Cuando alcanza la tensión de
disparo el Schimitt Trigger 7414 cambia de estado a su
• 1 condensador de 1000µF/15V(C1) salida y pasa a “0”. En estas condiciones el condensador
tiende a descargarse. Cuando alcanza un valor por debajo
• 1 condensador de 100µF (C2) del de disparo, el 7414 vuelve a cambiar de estado y pasa
• 2 resistencia de 1K (R1, R2) ahora a "1”. El proceso se repite constantemente.

• Cables de conexión La frecuencia obtenida se calcula según:


Ingeniería de Microsistemas Programados S.L.

F = 1/(R * C)

donde F=Herzios, R=Ohmios y C=Faradios

1º.- Conectar la alimentación al circuito: +5Vcc a la pata 14 y GND a la pata 7 del 7414
2º.- Conectar R1 de 1K entre las patas 1 y 2.
3º.- Conectar C1 de 1000µF entre la pata 1 y GND, respetando la polaridad del condensador.
4º.- Unir las patillas 2 y 3 entre sí.
5º.- La salida en la patilla 4 se conecta con el led S0 del entrenador.
MSE
PRÁCTICA ELECTRÓNICA DIGITAL MICROSYSTEMS
1/4 – 4 TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS ENGINEERING

PRÁCTICA 4: Otros tipos de puertas lógicas


La figura 4-7 muestra el montaje práctico con la disposición de
los componentes. Se observará que el led S0 debe realizar una
intermitencia de 1 seg. Aproximadamente.

FIGURA 4.7. Montaje práctico.

4.6 Desarrollo de la práctica


Analizar el circuito con doble multivibrador del
esquema de la figura 4-8.

Se trata de dos generadores de onda cuadrada que


generan diferentes frecuencias. El formado por R1 y
C1 genera una frecuencia en torno a los 10Hz, mientras
que el formado por R2 y C2 genera una frecuencia de
1 Hz.

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
La salida de ambos generadores se aplica a sendas
puertas tri-estado que se gobiernan mediante los
pulsadores E10 y E11. Las salidas de estas puertas se
unen y van a parar a un único led, S0.

El circuito pretende mostrar el concepto de alta


impedancia que presentan las puertas tri-estado
FIGURA 4.8. Esquema electrónico del doble multivibrador.
contenidas en el dispositivo SN74126.

4.7 Trabajo personal

Una vez montado el circuito de la figura


4-8 y, cuya fotografía de montaje se muestra
en la figura 4-9, realizar los siguientes
pasos:

FIGURA 4.9. Fotografía del montaje


de la práctica 4.

1º.- Comprobar que cuando las señales de control que se introducen mediante E10 y E11 están a nivel “0”, ninguno de los dos tri-
estados conduce. Ambos están en alta impedancia así que en ningún caso dejan pasar la señal de los generadores hacia el led S0 de
salida.
2º.- Al activar E10 se habilita el tri-estado que deja pasar la señal de 1Hz que proporciona uno de los generadores. El led S0 parpadea
a la frecuencia indicada.
3º.- Al activar E11 se habilita el tri-estado que deja pasar la señal de 10 Hz que proporciona el otro generador. Se observará que el
led de salida S0 parpadea más rápido.
4º.- Si se accionaran simultáneamente E10 y E11 ambos trie-estado quedarían activados y dejarían pasar la señal de sus respectivos
generadores. Ambas señales se juntan en una única salida S0. Como ambas señales son de frecuencias diferentes, habrá momentos
en que coincidan que una está a “1” mientras que la otra lo está a “0”. Esto supone que en esos momentos las salidas de los generadores
están cortocircuitadas entre sí. Esta situación NO debe darse nunca (tampoco pasa nada por comprobarlo durante un breve espacio
de tiempo).
MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS 1/5 – 1

PRÁCTICA 5: Familias lógicas

5.1 Objetivos
Presentar una idea general de las diferentes familias en que se agrupan los circuitos integrados lógicos existentes, presentando
las ventajas e inconvenientes de las dos más importantes en la actualidad, la CMOS la TTL.

5.2 Fundamentos teóricos básicos


Se puede decir, de forma resumida que, una “Familia” de circuitos integrados lógicos está compuesta de múltiples dispositivos
que integran funciones lógicas diferentes pero que sin embargo comparten una serie de características comunes como es
la velocidad, tensiones de alimentación, consumos, etc. Estos dispositivos se dividen en dos grandes categoría: MOS y
bipolares, que a su vez se pueden dividir en varios grupos o sub familias.

La diferencia fundamental se encuentra en su estructura interna y en el tipo de transistores con los que están construidos
y que les confieren determinadas características. En la familia MOS todas las funciones lógicas se desarrollan en torno a
los transistores MOSFET entre los que prevalecen los de tipo CMOS. En la familia TTL se emplean transistores bipolares
del tipo NPN o PNP.

5.2.1 La familia CMOS


Necesitan muy poca corriente para funcionar, su consumo es por tanto reducido. Prácticamente sólo hay consumo en los
momentos de transición de un estado lógico al opuesto.

Las entradas de los dispositivos lógicos están siempre en alta impedancia, comportándose como resistencias de valor muy
elevado valor (de ahí su reducido consumo).

Tienen un buen margen de inmunidad al ruido. Normalmente una interferencia que se superponga por encima de 1V a una
señal de entrada no causa una falsa lectura del nivel lógico.

Las entradas de los dispositivos CMOS no deben dejarse nunca abiertas, sin conexión, por que su estado lógico es indefinido.

La serie 4000 es la familia más popular de los dispositivos CMOS. Pueden funcionar con tensiones de alimentación elevadas,
de hasta 15V. Sin embargo son más lentos en las velocidades de transición de un nivel lógico al otro y son sensibles a
cargas electrostráticas (pueden estropearse durante su manipulación).

5.2.2 La familia TTL


Es probablemente la familia con mayor grado de desarrollo y en la que podemos encontrarnos con cerca del millar de
dispositivos diferentes. Es desarrollada e introducida inicialmente por el fabricante Texas Instruments y fácilmente reconocible
por que todos sus miembros empiezan por 74 (la serie 74xxxx).

Un primer inconveniente de la familia TTL es su baja inmunidad al ruido. La tensión de umbral del nivel lógico “0” está
muy próxima a los 0V de masa. Una débil interferencia puede ser suficiente para causar un error en la señal lógica de
entrada.

A diferencia de los CMOS, las entradas de los dispositivos TTL consumen corriente. En la práctica, un nivel lógico “0”
absorbe corriente desde masa hacia el positivo de alimentación. Los dispositivos TTL tienen por tanto un mayor consumo.

Trabajan con una única tensión de alimentación de 5V. Una entrada de un dispositivo TTL, si se deja abierta sin conexión,
es interpretada como nivel lógico “1”, aunque es conveniente conectarla a la alimentación mediante una resistencia, para
Ingeniería de Microsistemas Programados S.L.

eliminar posibles señales no deseadas.

Al ser la familia muy desarrollada han aparecido, con el tiempo, diferentes grupos o variantes de la misma a los que se
llama sub familias. Entre las más importantes cabe destacar las siguientes:

74Sxxx Ofrecen una mayor velocidad de trabajo a costa de un mayor consumo.

74LSxxx Mayor velocidad pero un consumo unas cuatro veces inferior al estándar TTL

74HCxxx Es la que actualmente más prespectivas de futuro tiene. Combina las ventajas propias de la familia TTL
con las de la familia CMOS. Se consigue dispositivos rápidos, de bajo consumo, alimentación flexible de
2 a 6V y una notable inmunidad al ruido.
MSE
PRÁCTICA ELECTRÓNICA DIGITAL MICROSYSTEMS
1/5 – 2 TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS ENGINEERING

PRÁCTICA 5: Familias lógicas

5.3 Esquema electrónico

Se muestra en la figura 5+1. Se trata de un temporizador que gobierna el encendido temporizado de dos salidas.

5.4 Materiales necesarios

• Entrenador “UNIVERSAL TRAINER”


• U1 Circuito Integrado 4011 (4 puertas NAND CMOS)
• R1y R3 Resistencias de 1M
• R2 Resistencia de 2K2
• C1 y C2 Condensadores de 1µF
• Cables de conexión

FIGURA 5.1. Esquema del circuito temporizador.

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
5.5 Montaje práctico

Se muestra en la fotografía de la figura 5-2. Se recomienda seguir


los siguientes pasos:

1º.- Ajustar, mediante el potenciómetro R3 del entrenador, la


tensión de +V a 9VDC.
2º.- Alimentar al circuito integrado U1, la pata 14 a +9VDC y
la 7 a GND.
3º.- Conectar los condensadores C1 y C2 sin equivocar la polaridad
de los mismos. Son electrolíticos.
4º.- Realizar el resto de conexiones según el esquema teórico. FIGURA 5.2. Montaje práctico del esquema.

5.6 Desarrollo de la práctica


Cuando se conecta la alimentación del circuito, se supone que C1 está descargado, las entradas de U1A están a “0” y la
salida a “1”. La salida S0 está por tanto activada. La salida de U1B queda a “0”, lo que evita que C2 se cargue y por tanto
la salida S1 queda desactivada.

El condensador C1 se carga a través de R1. En un momento dado la salida U1A queda a “0” y S0 se desconecta. La salida
U1B se pone a “1” iniciándose la carga de C2 a través de R3. Cuando C2 se carga lo suficiente, la salida de U1D pasa a
“1” activándose S1. Este último estado se mantiene estable.

Si se cortocircuita el condensador C1 mediante un cable en sus extremos, la salida S0 vuelve a activarse, la salida U1B
pasa a “0” con lo que C2 empieza a descargarse momento que se desconecta S1.

Se vuelve a la situación inicial y el ciclo se repite.

El tiempo que S0 se mantiene activada viene determinado por el tiempo que tarda en cargarse C1 mediante
R1: t(s) = R(Ω) * C(µF).

El tiempo que tarda en activarse S1 se determina por el tiempo que tarda en cargarse C2 a través de R3: t(s) = R(Ω) * C(µF).
MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS 1/5 – 3

PRÁCTICA 5: Familias lógicas


1.7 Trabajo personal
Completar el diagrama de tiempos presentado en la figura 5-3, dibujando las señales presentes en las cuatro
salidas de las puertas lógicas así commo enlos leds S0 y S1, a partir del instante de conectar la alimentación
y, también, a partir del instante en que se cortocircuita C1.

FIGURA 5.3. Diagrama de tiempos del circuito propuesto.

En la figura 5-4 se muestra el encapsulado del dispositivo CMOS 4011, donde se puede apreciar la distribución de patillas.

ANOTACIONES PERSONALES
Ingeniería de Microsistemas Programados S.L.

FIGURA 5.4. Distribución de pines del 4011.


1/5 – 4
PRÁCTICA

ANOTACIONES PERSONALES
ELECTRÓNICA DIGITAL
TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS

MSE
MICROSYSTEMS
ENGINEERING

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS 1/6 – 1

PRÁCTICA 6: Circuitos de entretenimiento

6.1 Objetivos

El objetivo de la presente práctica no es otro que el de presentar una par de circuitos digitales, de carácter didáctico, que
sugieran al usuario las múltiples posibilidades de aplicación de la electrónica digital.

6.2 Fundamentos teóricos básicos

No son otros que los estudiados hasta el momento. Se trata de aplicaciones basadas en puertas lógicas y las consecuencias
que de su empleo se derivan.

Con objeto de dar un mayor énfasis a los dispositivos de tecnología CMOS, los dos circuitos que aquí se presentan están
realizados con el dispositivo 4011 que ya se empleó en la práctica anterior.

6.3 Esquema electrónico

6.3.1 Disparo por tacto


El primer esquema, presentado en la figura 6-1,
muestra un circuito capaz de disparar una señal de
salida cada vez que dos conductores se unen mediante
el tacto, a través de la resistencia del propio cuerpo
humano.

FIGURA 6.1. Esquema del circuito de disparo por tacto.

6.3.2 Comprobador de
batería baja
El esquema presentado en la
figura 6-2 muestra un circuito
capaz de detectar el bajo nivel
de voltaje de una batería o pila.
El patillaje del transistor Q1 es
Ingeniería de Microsistemas Programados S.L.

similar al empleado por los


transistores del entrenador.
Según se mira de frente la patilla
central es la base, la derecha el
emisor y la izquierda el colector.

FIGURA 6.2. Esquema del


comprobador de baterías.
MSE
PRÁCTICA ELECTRÓNICA DIGITAL MICROSYSTEMS
1/6 – 2 TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS ENGINEERING

PRÁCTICA 6: Circuitos de entretenimiento

6.4 Materiales necesarios 6.5 Montaje práctico

1º.- Ajustar, mediante el potenciómetro R3 del entrenador,


Entrenador “UNIVERSAL TRAINER” la tensión de +V a +9VDC.
Q1 Transistor NPN tipo BC547 2º.- Alimentar el circuito U1; la pata 14 a +9VDC y la
C. Integrado 4011 (4 puertas NAND tipo CMOS) pata 7 a GND.
R1 Resistencia 1M 3º.- En el esquema de la figura 6-1 conectar la resistencia
R1 y R2 Resistencias de 1KΩ R1 y preparar dos cables con los extremos pelados
que actuarán de sensor.
R3 y R4 Resistencias de 10KΩ
4.- En el esquema de la figura 6-2, conectar, mediante
R5 Resistencia de 1M
los oportunos cables, el potenciómetro P1 que se
C1 Condensador electrolítico de 1µF encuentra en el propio entrenador.
Cables de conexión 5.- No equivocar la polaridad del condensador C1, es
electrolítico

En la fotografía de la figura 6-3 se muestra el aspecto del montaje correspondiente al esquema de la figura 6-2, el
comprobador de baterías.

6.6 Desarrollo de la práctica

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
En este apartado vamos a trata de explicar el funcionamiento
de ambos circuitos.

6.6.1 Disparo por tacto


Este circuito nos muestra la posibilidad de construir pulsadores
táctiles sin contactos metálicos que se pueden emplear en
diferentes circuitos de activación/desactivación.

En este ejemplo se muestra el encendido del diodo de salida


S0 cada vez que se cierren los contactos. Posteriormente
este mismo circuito, junto con otros puede emplearse para
FIGURA 6.3. Montaje del circuito comprobandor de baterías
realizar aplicaciones más interesantes.
En situación de reposo, sin cerrar los contactos, la resistencia R1 garantiza que las entradas de U1A queden a nivel “1”.
En estas condiciones el led de salida permanece apagado. Cuando se cierran los contactos del sensor, las entradas de U1A
quedan a “0” por lo que su salida pasa a “1” y el led se enciende.

Los contactos suelen ser dos electrodos de metal que se sitúan próximos entre sí. En nuestro ejemplo pueden ser dos simples
trozos de cables pelados en sus extremos. Un trozo se conecta a GND y el otro a las patillas 1 y 2 de entrada a la puerta
U1A. En cualquier caso los cables no se tocan entre sí. Cuando ambos trozos se tocan con, por ejemplo un dedo, la propia
humedad de la piel hace que se cierren los contactos, dando lugar al disparo del circuito.

6.6.2 Indicador de batería baja


Se trata de un circuito básico que detecta el nivel de tensión de una pila. Si dicho nivel de tensión es aceptable, el diodo
led de salida permanece encendido. En caso contrario, se produce una intermitencia del mismo.

El esquema de la figura 6-2 se divide en dos secciones bien diferenciadas. Por un lado las resistencias R1, R2, el potenciómetro
del entrenador P1 y el transistor Q1, se encargan de medir la tensión de la pila bajo prueba. Si esta está en buenas condiciones
en R1 habrá la tensión suficiente para polarizar el transistor Q1. Este conduce a saturación y en su colector queda una
tensión de 0V (nivel “0”).

Si la pila está baja de tensión, el transistor no llega a polarizarse y se bloquea. En su colector aparece entonces una tensión
positiva (nivel “1”).
MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS 1/6 – 3

PRÁCTICA 6: Circuitos de entretenimiento


El potenciómetro P1 permite ajustar la sensibilidad o el umbral de disparo del transistor.

Por otra parte las puertas U1A, U1B y U1C forman un circuito multivibrador controlado. Proporciona una señal de onda
cuadrada a la salida de U1C que hará parpadear al led S0. La frecuencia viene determinada por el valor de C1 y R5.

El control del oscilador se realiza mediante la patilla 2 de U1A. Si está a “0”, el multivibrador queda bloqueado y el led de
salida S0 permanece a nivel 1 constantemente. Esto ocurre cuando el transistor Q1 conduce debido al buen estado de la
pila.

Si por el contrario Q1 se bloquea, la patilla 2 de U1A queda a “1”. En estas condiciones el multivibrador se pone en marcha
y el led parpadea indicando baja tensión en la pila.

6.7 Trabajo personal

1º.- Montar el circuito de acuerdo al esquema de la figura 6-2.

2º.- Colocar una pila en buen estado y realizar, mediante un voltímetro, las siguientes medidas de tensión continua:

a) Vbe en Q1= _____________; b) Vce en Q1 = _____________

3º.- Colocar una pila gastada o bien cortocircuitar los conductores + y – entre sí. Volver a realizar y anotar las siguientes
medidas:

a) Vbe en Q1 = _____________ ; b) Vce en Q1 = _____________

ANOTACIONES PERSONALES
Ingeniería de Microsistemas Programados S.L.
1/6 – 4
PRÁCTICA

ANOTACIONES PERSONALES
ELECTRÓNICA DIGITAL
TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS

MSE
MICROSYSTEMS
ENGINEERING

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS 1/7 – 1

PRÁCTICA 7: Automatismo combinacional

7.1 Objetivos

Combinar diferentes funciones lógicas para la resolución de una ecuación que define el funcionamiento de un automatismo.

7.2 Fundamentos teóricos básicos


Para que el motor M se active, no sólo basta con accionar el pulsador de arranque A. Es necesario que dos sensores, B y
C, estratégicamente colocados, informen al sistema de que el área de trabajo está despejado. Esto ocurre cuando B está a
“1” y C está a “0” o bien si ambos están a “0”. En los demás casos una señal sonora S, indicará alguna anomalía en el
sistema.

7.2.1 Tabla de 7.2.2 Ecuaciones lógicas


ENTRADAS SALIDAS
la verdad
C B A M S Definen en qué momento las salidas se deben activar en función
0 0 0 0 0 de las variables de entrada. Si esposible las ecuaciones obtenidas
0 0 1 1 0 se deben simplificar.
0 1 0 0 0
M = A • B • C + A • B • C; M = A (B • C + B • C)
0 1 1 1 0
1 0 0 0 0 S = A • B • C + A • B • C; S = A (B • C + B • C); S= A • M
1 0 1 0 1
1 1 0 0 0
1 1 1 0 1

7.3 Esquema electrónico


Es el mostrado en la figura 7-1
Ingeniería de Microsistemas Programados S.L.

FIGURA 7.1. Esquema teórico.

7.4 Materiales necesarios • Entrenador “UNIVERSAL TRAINER”


• U1 C. Integrado SN7404 (6 inversores)
• U2 C. Integrado SN7408 (4 puertas AND)
• U3 C. Integrado SN7432 (4 puertas OR)
MSE
PRÁCTICA ELECTRÓNICA DIGITAL MICROSYSTEMS
1/7 – 2 TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS ENGINEERING

PRÁCTICA 7: Automatismo combinacional

7.5 Montaje práctico


Se muestra en la fotografía de la figura 7-2

1º.- Conectar la tensión de alimentación a los 3 C. Integrados U1, U2 y U3 por las patillas correspondientes (pata
14 positivo y pata 7 GND). Dicha tensión debe ser de +5VDC.
2º.- Los interruptores E0 y E1 simulan las señales de entrada B y C procedente de los sensores.
3º.- El pulsador E10 simula la señal de arranque A.
4º.- Conectar la salida M con el led S0 que simulará el motor.
5º.- La señal de salida S se conecta al zumbador del entrenador. Produce la señal sonora de alarma al activarse.

FIGURA 7.2. Fotografía del montaje.

7.6 Desarrollo de la práctica

Mediante los interruptores E0 y E1 así como con el pulsador


E10, se introducen las combinaciones binarias de entrada
de acuerdo a la tabla de la verdad del apartado 7.2.1

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
Comprobar que las salidas S0 (M) y el zumbador (S) se
activan cuando se introducen los niveles lógicos de entrada
correspondientes.

7.7 Trabajo personal ENTRADAS SALIDAS


C B A M S
0 0 0
Modificar el circuito de forma que el motor M se active 0 0 1
cuando los sensores B y C están ambos a “1” o ambos a 0 1 0
“0”. 0 1 1
1 0 0
7.7.1 Tabla de la verdad
Completarla según las nuevas condiciones del diseño 1 1 0
1 1 1
7.7.2 Ecuaciones lógicas
Anotar a continuación las ecuaciones lógicas que se obtiene. Procurad simplificar.

7.7.3 Esquema electrónico


Dibujar a continuación el esquema
completo y montarlo sobre
elentrenador para verificar su correcto
funcionamiento

7.7.5 Diagrama de tiempos


Completar el diagrama de tiempos
que se presenta en la figura 7-3.

FIGURA 7.3. Diagrama de tiempos.


MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS 1/8 – 1

PRÁCTICA 8: Otro automatismo combinacional

8.1 Objetivos Combinar diferentes funciones lógicas para la resolución de una ecuación que define
el funcionamiento de un automatismo digital.

8.2 Fundamentos teóricos básicos


Se desea controlar el motor de un limpiaparabrisas de
un coche. Este se gobierna desde tres señales de entrada:
A es la llave de contacto del vehículo, B el interruptor
de puesta en marcha del limpia y C sensor que detecta
si las varillas del limpia están en la posición de reposo.
Ver gráfico de la figura 8-1.
FIGURA 8.1. El limpiaparabrisas.

Para activarlo es necesario que la llave de contacto del vehículo (A) esté accionada, al igual que el interruptor B. Para
desactivar el motor M no basta con desactivar el interruptor B, si no que el motor debe seguir funcionando hasta que las
varillas del limpia lleguen a la posición de reposo y accionen el final de carrera C. Se evita así que estas se detengan en
mitad del recorrido.

Por supuesto que, si se desconecta la llave de contacto general, el motor se para instantáneamente en cualquier posición.

8.2.1 Tabla de la verdad 8.2.2 Ecuacion lógica


Se presenta a continuación.
Se obtiene a partir de la tabla de la verdad. Su máxima simplificación implica el
A B C M empleo de menos puertas lógicas para resolverla y por tanto menos circuitos
0 0 0 0 integrados.
0 0 1 0
M = A • B • C + A • B • C + A • B • C; M = A (B • C + B • C + B • C)
0 1 0 0
0 1 1 0 M = A [B • C + B (C + C)]; M = A [B • C + B(1)]; M = A (B • C + B); M = A (C + B)
1 0 0 1
1 0 1 0
1 1 0 1
1 1 1 1

8.4 Materiales necesarios


8.3 Esquema electrónico
El esquema electrónico que responde a la ecuación
anteriormente obtenida, se muestra en la figura 8-2. • Entrenador “UNIVERSAL TRAINER”
• U1 C. Integrado SN7404
• U2 C. Integrado SN7432
Ingeniería de Microsistemas Programados S.L.

• U3 C. Integrado SN7408
• C. Integrado SN7400
• Cables de conexión

FIGURA 8.2. Esquema electrónico para el control del limpiaparabrisas.


MSE
PRÁCTICA ELECTRÓNICA DIGITAL MICROSYSTEMS
1/8 – 2 TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS ENGINEERING

PRÁCTICA 8: Otro automatismo combinacional


8.5 Montaje práctico
Se muestra en la fotografía de la figura 8-3.

FIGURA 8.3. Fotografía del montaje del


control del limpiaparabrisas.

8.6 Desarrollo de la práctica

Mediante los tres interruptores de entrada


E0, E1 y E2 que simulan las señales A, B
y C respectivamente, aplicar las
combinaciones descritas en la tabla de la
verdad del apartado 8.2.1. Comprobar que
la salida S0 que simula al motor M,
corresponde con dicha tabla.

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
Completar el diagrama de tiempos de la
figura 8-4

FIGURA 8.4. Diagrama de tiempos.

8.7 Trabajo personal

Empleando los teorema de Morgan, explicado en la práctica 3 de este tema, obtener los esquemas electrónicos de la ecuación
obtenida en el apartado 8.2.2.

a) Dibujar el esquema electrónico empleando exclusivamente puertas NOR

b) Dibujar el esquema electrónico empleando exclusivamente puertas NAND

c) Anotar a continuación las ventajas y/o incovenientes de emplear cualquiera de los teoremas de morgan.

d) Montar ambos circuitos obtenidos y comprobar su correcto funcionamiento de acuerdo a la tabla de la verdad.

ANOTACIONES PERSONALES
1/2 – 4
PRÁCTICA

ANOTACIONES PERSONALES
ELECTRÓNICA DIGITAL
TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS

MSE
MICROSYSTEMS
ENGINEERING

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
1/3 – 4
PRÁCTICA

ANOTACIONES PERSONALES
ELECTRÓNICA DIGITAL
TEMA 1: CIRCUITOS INTEGRADOS LÓGICOS

MSE
MICROSYSTEMS
ENGINEERING

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 2: CIRCUITOS COMBINACIONALES 2/1 – 1

PRÁCTICA 1: Decodificadores

1.1 Objetivos Conocer el funcionamiento de los circuitos decodificadores empleados en múltiples


dispositivos o circuitos digitales.

1.2 Fundamentos teóricos básicos 1.3 Esquema electrónico


Los decodificadores se tratan de circuitos digitales de carácter
combinacional. Su funcionamiento puede resumirse con la El esquema de la figura 1-1 responde a un decodificador
siguiente definición: de 2 x 4. Por cada una de las cuatro combinaciones
de entrada posibles, se activa una y sólo una de sus
“Circuito que recibe por sus entradas información binaria cuatro salidas. Es muy frecuente emplear lógica
codificada y presenta a su salida información binaria sin negativa. Esto significa que, cuando se habla de activar
codificar” una salida, ésta se pone a nivel lógico “0”. Si se
desactiva es porque se queda a nivel lógico “1”.
Efectivamente, siguiendo los procesos que se desarrollaron en
algunas de las prácticas del anterior Tema 1, podemos obtener Las cuatro salidas responden a la siguiente tabla de
un circuito decodificador a medida de nuestras necesidades. la verdad:
Se diseña la tabla de la verdad en la que se relaciona los
códigos de entrada con las salidas que se desean activar. Se
desarrollan las ecuaciones lógicas necesarias. Se implementa
el esquema electrónico del circuito. ENTRADAS SALIDAS
B A S3 S2 S1 S0
Una mención especial tienen los llamados “Decodificadores
Selectores”. A partir de un código de entrada, activan una y 0 0 1 1 1 0
sólo una de sus salidas. Podemos encontrarnos con 0 1 1 1 0 1
decodificadores de BCD a decimal, de 2 x 4, de 3 x 8, etc. 1 0 1 0 1 1
1 1 0 1 1 1

FIGURA 1.1. Las ecuaciones para cada una de las cuatro salidas
Esquema electrónico. son las siguientes:

S0 = A • B S1 = A • B
S2 = A • B S3 = A • B

1.4 Materiales necesarios

• Entrenador “UNIVERSAL TRAINER”


• U1 C.Integrado SN7404 (6 inversores)
• U2 C. Integrado SN7400 (4 puertas NAND)
• U1 C.Integrado SN74139 (Doble decodificador de 2 x 4)
• Cables de conexiones
Ingeniería de Microsistemas Programados S.L.

1.5 Montaje práctico


Se muestra en la fotografía de la figura 1-
2
1º.- Asegurarse de conectar la alimentación de +5VDC a las patillas correspondientes de los C. Integrados. En ambos
circuitos la 14 es positivo y la 7 GND.
2º.- Conectar los interruptores E0 y E1 para las señales de entrada A y B respectivamente.
3º.- Conectar los leds S0, S1, S2 y S3 del entrenador, como señales de salida.
MSE
PRÁCTICA ELECTRÓNICA DIGITAL MICROSYSTEMS
2/1 – 2 TEMA 2: CIRCUITOS COMBINACIONALES ENGINEERING

PRÁCTICA 1: Decodificadores
FIGURA 1.2. Fotografía del montaje.

1.6 Desarrollo de la práctica

Una vez realizado el montaje del esquema de la figura


1-1, comprobar el correcto funcionamiento del circuito
mediante la tabla de la verdad anterior.

El dispositivo integrado SN74139 consta de dos


decodificadores de 2 x 4 totalmente independientes
entre sí. La figura 1-3 muestra el diagrama de
conexiones de este dispositivo.

El esquema de la figura 1-4 emplea uno de los dos decodificadores. Cada


uno de ellos dispone de una señal adicional llamada G. Cuando dicha
señal está desactivada (a nivel “1”), la salidas también lo están,
independientemente del estado de las entradas. Las salidas se activan
por lógica negativa (nivel “0”).

FIGURA 1.3. Diagrama de conexiones

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
del decodificador SN74139.

FIGURA 1.4. Esquema del decodificador


de 2 x4 SN74139.

1.7 Trabajo personal Completar el diagrama de tiempos de la figura 1-5

Montar el esquema electrónico de la figura


1-4. Comprobar su funcionamiento y
completar la siguiente tabla de la verdad.

ENTRADAS SALIDAS
G B A Y0 Y1 Y2 Y3
0 0 0
0 0 1
0 1 0
0 1 1
1 X X
FIGURA 1.5. Diagrama de tiempos del decodificador.
MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 2: CIRCUITOS COMBINACIONALES 2/2 – 1

PRÁCTICA 2: El display de 7 segmentos

2.1 Objetivos Un display de 7 segmentos consiste básicamente en


un conjunto de diodos luminosos tipo led,
Explicar el funcionamiento y control de los displays estratégicamente ubicados y en forma de segmentos
numéricos formados por diodos luminosos tipo led. o rayas. A dichos segmentos se les denomina a, b, c,
d, e, f, g y dp (punto decimal). Según qué leds se
iluminen se consigue formar cualquier dígito numérico.

Los hay de dos tipos: ánodo común y cátodo común,


tal y como muestra la figura 2-1.
2.2 Fundamentos teóricos básicos
En los del tipo de ánodo común, todos los ánodos de
los leds o segmentos están unidos internamente a una
patilla común que debe ser conectada a potencial
positivo (nivel “1”). El encendido de cada segmento
individual, se realiza aplicando potencial negativo
(nivel “0”) por la patilla correspondiente.

En los del tipo de cátodo común, todos los cátodos de


los leds o segmentos están unidos internamente a una
patilla común que debe ser conectada a potencial
negativo (nivel “0”). El encendido de cada segmento
individual, se realiza aplicando potencial positivo (nivel
“1”) por la patilla correspondiente.

Es muy frecuente conectar a cada uno de los segmentos


con una resistencia de absorción que limite el paso de
corriente hacia los mismos. En el entrenador
“UNIVERSAL TRAINER” se han empleado displays de
ánodo común del modelo SA43-11HWA o equivalentes.
FIGURA 2.1. Los displays de ánodo y cátodo común. El diagrama de pines del mismo se puede observar en
el esquema de la figura 2-2.

2.3 Esquema electrónico

Se muestra en la figura 2-2 y corresponde al montaje


implementado en el entrenador, al que se le han
añadido un conjunto de interruptores de entrada para
controlar individualmente cada uno de los segmentos
del display.

FIGURA 2.2. Esquema


electrónico de montaje.
2.4 Materiales necesarios
Ingeniería de Microsistemas Programados S.L.

2.5 Montaje práctico


• Entrenador “UNIVERSAL TRAINER”

• Cables de conexión Según el esquema anterior y, a la vista de la fotografía de


la figura 2-3, no es necesario emplear ningún componente
adicional. Basta con unir, mediante cables de conexiones,
los distintos interruptores con los segmentos de los displays.
El display U7 queda habilitado al conectarse su patilla
común, D0, con el positivo de alimentación de +5VDC.
MSE
PRÁCTICA ELECTRÓNICA DIGITAL MICROSYSTEMS
2/2 – 2 TEMA 2: CIRCUITOS COMBINACIONALES ENGINEERING

PRÁCTICA 2: El display de 7 segmentos


FIGURA 2.3. Montaje práctico.

2.6 Desarrollo de la práctica

Como la patilla común D0 del display U7 del entrenador,


está conecta a +5VDC, el display está permanentemente
habilitado al tratarse de un display de ánodo común.

Cuando se aplica nivel lógico alto por D0, los distintos


segmentos del display podrán encenderse o no dependiendo
del nivel lógico que se les aplique individualmente. A nivel
“0” el segmento se enciende, en caso contrario permanece
apagado.

Aplicando las oportunas combinaciones binarias mediante los interruptores E0-E7 a los segmentos del display,
se puede conseguir que este visualice y represente, entre otros, los distintos símbolos numéricos.

2.7 Trabajo personal

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
DIGITO SEGMENTOS Completar la siguiente tabla de
A=(E0) B(E1) C(E2) D(E3) E(E4) F(E5) G(E6) DP(E7) la verdad indicando qué
0
1 segmentos deben activarse para
2 representar cualquier dígito del
3 0 al 9. Verificarlo aplicando
4 mediante los interruptores los
5 niveles lógicos apropiados.
6
7
8
9

Completar la siguiente SEGMENTOS


DIGITO
tabla de la verdad A=(E0) B(E1) C(E2) D(E3) E(E4) F(E5) G(E6) DP(E7)
indicando qué A
B
segmentos deben C
activarse para D
representar algunos de E
los caracteres F
G
alfanuméricos H
propuestos, bien sea en I
mayúsculas o en J
minúsculas. Algunos de K
L
ellos serán imposibles M
de representar sobre un N
display de 7 segmentos. Ñ
O
P
Q
R
S
T
U
V
W
X
Y
Z
MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 2: CIRCUITOS COMBINACIONALES 2/3 – 1

PRACTICA 3: Decodificador BCD a 7 segmentos

3.1 Objetivos 3.2 Fundamentos teóricos básicos


Controlar un display de 7 segmentos
mediante el empleo de un decodificador
comercial diseñado expresamente para Un decodificador BCD a 7 segmentos esta expresamente
ello. diseñado para controlar este tipo de displays. Recibe a su
entrada el código binario BCD que representa el número a
visualizar. A su salida el decodificador responde activando
los segmentos necesarios, de forma que dicho número se
vea iluminado.

De la misma forma que existen displays de ánodo común


y de cátodo común, también existen decodificadores para
ambos tipos de displays. Un decodificador para displays de
ánodo común tiene sus salidas activas mediante nivel “0”,
dado que a de controlar los cátodos de los segmentos. Por
el contrario, un decodificador para displays de cátodo
común, tiene sus salidas activas por nivel lógico “1”, dado
que ha de gobernar los ánodos de los segmentos de dicho
display.

FIGURA 3.1. Diagrama de pines del decodificador BCD El dispositivo integrado SN7447 consiste en un decodificador
a 7 segmentos SN7447. BCD a 7 segmentos cuyas salidas son activas por nivel “0”,
es decir, para displays de ánodo común. En la figura 3-1
La tabla que se presenta a continuación describe la se presenta el encapsulado del mismo con la distribución
finalidad de cada una de las patillas de este dispositivo. de patillas.

Pin Nº Nombre Descripción


1, 2, 6 y 7 B, C, D y A Entradas. Por estas 4 patillas A,B,C y D, se introduce el código BCD de entrada del número a visualizar.
9, 10, 11, 12,
13, 14 y 15 e, d, c, b, a, g, f Salidas. Se conectan a los 7 segmentos del display a controlar (a, b, c, d, e, f, g). Son activas por nivel “0”.
8 y 16 GND y VCC Son las patillas de alimentación. GND a tierra y VCC a +5VD
3 LT Entrada “Lamp Test”. Cuando se activa mediante nivel “0”, se iluminan todos los segmentos del display
independientemente de las entradas A, B, C y D.
5 RBI Entrada activa por “0”. Cuando está activada y el código BCD de entrada se corresponde con el del dígito
0 (0000), el display queda desconectado. El número 0 no se visualiza. Por otra parte la salida BI/RBO
pasa a “0”.
4 BI/RBO Entrada/salida. Si se aplica un “0” por esta patilla las salidas a los segmentos se desconectan dejando al
display en blanco. Aplicando una señal de onda cuadrada se puede variar el brillo del mismo. Por otra
parte si se introduce un “0” por la patilla 5 (RBI), esta señal actúa como salida y se pone a “0” cada vez
que se introduzca el código BCD del número 0 (0000)

3.3 Esquema electrónico Se presenta en la figura 3-2.


Ingeniería de Microsistemas Programados S.L.

FIGURA 3.2.
Conexión del
decodificador a un
display.
MSE
PRÁCTICA ELECTRÓNICA DIGITAL MICROSYSTEMS
2/3 – 2 TEMA 2: CIRCUITOS COMBINACIONALES ENGINEERING

PRACTICA 3: Decodificador BCD a 7 segmentos


• Entrenador “UNIVERSAL TRAINER”
3.4 Materiales necesarios
• U1 C integrado SN7447 (decodificador BCD a 7 segmentos)

• Cables de conexión

3.5 Montaje práctico

Se muestra en la fotografía de la figura 3-3.


Básicamente consiste en emplear el display U7
del entrenador. La línea común D0 se conecta
con +5VDC. Los interruptores E0-E3 del
entrenador se conectan con las entradas A, B,
C y D, por donde se generan los distintos códigos
BCD de entrada.

El interruptor E9 genera la señal LT (“Lamp


Test”). Debe estar normalmente a “1”.

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
FIGURA 3.3. Montaje Práctico.

3.6 Desarrollo de la práctica


DIGITO ENTRADA BCD SEGMENTOS DE SALIDA
E3 E2 E1 E0 A B C D E F G
0 0 0 0 0
I n t r o d u c i r, m e d i a n t e l o s
1 0 0 0 1
interruptores E0-E3, los valores
2 0 0 1 0
BCD correspondientes a los dígitos 3 0 0 1 1
del 0 al 9. Completar la siguiente 4 0 1 0 0
tabla de la verdad indicando los 5 0 1 0 1
segmentos que se activan en cada 6 0 1 1 0
caso. 7 0 1 1 1
8 1 0 0 0
9 1 0 0 1
3.7 Trabajo personal

Cuando se introducen valores binarios de ENTRADA BCD SEGMENTOS DE SALIDA


entrada por encima del 9 (1001), el E3 E2 E1 E0 A B C D E F G SIMBOLO
decodificador responde visualizando una serie 1 0 1 0
de símbolos predefinidos. Completar la
siguiente tabla de la verdad indicando qué
1 0 1 1
segmentos se activan y dibujando el símbolo 1 1 0 0
que aparece sobre el display. 1 1 0 1
1 1 1 0
1 1 1 1

Pon el interruptor E9, conectado a la entrada LT, a nivel “0”. Anotar qué se aprecia en el display y dar una explicación.
Posteriormente volver a dejar ese interruptor en reposo, a “1”.

Introducir el código BCD del dígito 0. Colocar la patilla 4 de U1 (BI/RBO) a la salida 128 del generador lógico de onda
cuadrada. Seleccionar una baja frecuencia en el mismo colocando los tres switches en la posición ON. Describir brevemente
lo que ocurre a medida que se aumenta la frecuencia. Indicar la utlidad que se le puede dar a esa patilla.
MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 2: CIRCUITOS COMBINACIONALES 2/4 – 1

PRACTICA 4: Multiplexores

4.1 Objetivos
Dar a conocer este tipo de circuitos digitales que hacen las veces de conmutadores electrónicos capaces de
distribuir información procedente de lugares diferentes.

4.2 Fundamentos teóricos básicos

Por definición un multiplexor es un circuito capaz de canalizar información digital procedente de múltiples entradas sobre
una única salida en un instante determinado. Nos podemos encontrar con diversas configuraciones: multiplexores de 2
entradas a 1 salida, de 4 a 1, de 8 a 1, etc. Unas señales de control se encargan de seleccionar qué entrada es la que se
desea obtener por la salida. Un multiplexor de 2 a 1 tendrá una única señal de control, un multiplexor de 4 a 1 tiene dos
señales de control, uno de 8 a 1 tendrá 3, y así sucesivamente. La figura 4-1 muestra una serie de conmutadores de 2, 4 y
8 contactos, equivalentes eléctricamente a multiplexores de otras tantas entradas.

FIGURA 4.1.
Equivalentes eléctricos de los multiplexores.

4.3 Esquema electrónico

Se presenta en la figura 4-2

FIGURA 4.2. Esquema de un


multiplexor de 2 a 1.
Ingeniería de Microsistemas Programados S.L.

Efectivamente se trata de un multiplexor de 2 entradas y una salida. Los interruptores E0 y E1 proporcionan la información
para las entradas 0 y 1 respectivamente. El interruptor E9 selecciona la entrada cuya información estará presente en la
salida representada por S0.

• Entrenador “UNIVERSAL TRAINER”


• U1 C. Integrado SN7404 (6 inversores)
4.4 Materiales necesarios • U2 C. Integrado SN7408 (4 puertas AND)
• U3 C. Integrado SN7432 (4 puertas OR)
• U2 C. Integrado SN74258 (cuádruple multiplexor de 2 a 1)
• U3 C. Integrado SN7447 (decodificador BCD a 7 segmentos)
• Cables de conexión)
MSE
PRÁCTICA ELECTRÓNICA DIGITAL MICROSYSTEMS
2/4 – 2 TEMA 2: CIRCUITOS COMBINACIONALES ENGINEERING

PRACTICA 4: Multiplexores
4.5 Montaje práctico

Es el mostrado en la fotografía de la figura 4-3.

FIGURA 4.3. Montaje del multiplexor de 2 a 1.

Conectar las alimentaciones a los tres circuitos empleados.


Las patas 7 se conectan a GND y las 14 a +5VDC. Los
interruptores E0 y E1 actúan como canales para las
entradas 0 y 1. El interruptor E9 permite seleccionar
una de las dos entradas. El estado lógico de la entrada
seleccionada se visualizará sobre el led de salida S0.

4.6 Desarrollo de la práctica CONTROL ENTRADAS SALIDA


E9 E1 E0 S0
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
1 0 1
1 1 0
1 1 1

FIGURA 4.4. Diagrama de tiempos del multiplexor de 2 a 1.

4.7 Trabajo personal


El dispositivo integrado SN74258 contiene en su interior cuatro multiplexores de 2 a 1 gobernados por una señal
de control común a todos ellos. El diagrama de conexiones se muestra en la figura 4-5 junto al esquema eléctrico
equivalente.
Montar el circuito del esquema de la figura 4-6

El circuito multiplexa dos códigos


BCD distintos y los visualiza sobre
dos displays de 7 segmentos. Cuando
la señal de control generada por el
interruptor E9 está a nivel “0”, el
display U7 queda activado. El
multiplexor presenta en sus cuatro
salidas la información presente en
las entradas 1A, 2A, 3A y 4A. Esta
procede de los interruptores E0, E1,
E2 y E3 del entrenador y a través
de ellos se introduce el código BCD
de las unidades a visualizar. Este
código BCD se decodifica a 7
segmentos mediante U3 para,
finalmente, visualizarse sobre el
display U7 de unidades.
FIGURA 4.5. Diagrama de pines y equivalencia eléctrica Del
cuádruple multiplexor de 2 a 1.
MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 2: CIRCUITOS COMBINACIONALES 2/4 – 3

PRACTICA 4: Multiplexores

FIGURA 4.6. Multiplexado sobre dos displays de 7 segmentos.

Cuando la señal de control generada por E9 está a nivel “1”, se activa el display U8. El multiplexor presenta
sobre sus cuatro salidas la información presente en las entradas 1B, 2B, 3B y 4B. Esta procede de los interruptores
E4, E5, E6 y E7 del entrenador y a través de ellos se introduce el código BCD de las decenas. Este se decodifica
a 7 segmentos mediante U3 para, finalmente visualizarse sobre el display U8 de decenas.

Conviene hacer notar que las salidas 1Y, 2Y, 3Y y 4Y del multiplexor U2 están negadas respecto a las entradas.
La posición de los interruptores E0-E7 se debe tomar por tanto invertida, hacia arriba nivel “0” y hacia abajo
nivel “1”.

Comprobar que según lo explicado cuando E9 vale “0” sobre el display de unidades (U7) se visualiza el valor
BCD introducido por E0-E3. El display de decenas U8 permanece apagado. Cuando vale “1”, sobre el display
de las decenas (U8) se visualiza el valor BCD introducido por E4-E7. El display de unidades (U7) permanece
ahora apagado.

La señal de control se puede conectar a una de las salidas del generador lógico de onda cuadrada en lugar de
al interruptor E9. De esta manera el multiplexado se realiza de forma automática y repetitiva. Durante un instante
de tiempo se visualiza el valor BCD de E0-E3 sobre el display de unidades y luego el valor BCD de E4-E7 sobre
el display de decenas y vuelta a empezar.

Si se elige una baja frecuencia del generador (p.ej. la salida 128 y los tres switches de SW12 en ON), se aprecia
perfectamente la intermitencia en ambos displays. A medida que aumentamos la frecuencia la intermitencia se
hace cada vez menos acusada y los displays parecen estar ambos encendidos. Dar una explicación al fenómeno.

ANOTACIONES PERSONALES
Ingeniería de Microsistemas Programados S.L.
2/4 – 4
PRÁCTICA

ANOTACIONES PERSONALES
ELECTRÓNICA DIGITAL
TEMA 2: CIRCUITOS COMBINACIONALES

MSE
MICROSYSTEMS
ENGINEERING

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 2: CIRCUITOS COMBINACIONALES 2/5 – 1

PRACTICA 5: El sumador

5.1 Objetivos 5.2 Fundamentos teóricos básicos

Estudiar el funcionamiento de circuitos digitales


El circuito aritmético más simple es el llamado “Sumador
capaces de hacer la suma aritmética entre uno o
Completo”. Este es capaz de sumar dos bits (A + B) y tiene en
más bits de entrada.
cuenta posibles llevadas previas procedentes de otros sumadores
(Ci). A su salida genera un bit como resultado de la suma (S)
y otro de posible llevada si se diera el caso (Co). El esquema
por bloques se muestra en la figura 5-1 y debe responder a
la siguiente tabla de la verdad.

ENTRADAS SALIDAS
Ci A B S Co
0 0 0 0 0
0 0 1 1 0
0 1 0 1 0
0 1 1 0 1
1 0 0 1 0
1 0 1 0 1
1 1 0 0 1
1 1 1 1 1
FIGURA 5.1. Esquema por bloques del sumador completo con acarreo.

Conectando sumadores completos entre


sí, se pueden obtener circuitos
aritméticos capaces de sumar datos de
varios bits. El esquema por bloques que
se muestra en la figura 5-2, muestra el
caso de un circuito sumador de 4 bits.
Las entradas A1-A4 forman los cuatro
bits del sumando A, las entradas B1-
B4 forman los 4 bits del sumando B.
El resultado se obtiene por las salidas
S1-S4. Así mismo se dispone de una
entrada de llevada previa Ci y una
salida de llevada en el 4º bit Co.

5.3 Esquema electrónico


Ingeniería de Microsistemas Programados S.L.

El esquema
electrónico de la
figura 5-3 presenta
un circuito sumador
completo de dos bits
implementado con
puertas lógicas
típicas. FIGURA 5.3. Esquema del sumador completo.
MSE
PRÁCTICA ELECTRÓNICA DIGITAL MICROSYSTEMS
2/5 – 2 TEMA 2: CIRCUITOS COMBINACIONALES ENGINEERING

PRACTICA 5: El sumador
• Entrenador “UNIVERSAL TRAINER”
5.4 Materiales necesarios • U1 C. Integrado SN7408 (4 puertas AND)
• U2 C. Integrado SN7486 (4 puertas EOR)
• U3 C. Integrado SN7432 (4 puertas OR)
• U1 C. Integrado SN74LS283 (sumador completo de 4 bits)
• U1 C. Integrado SN7447 (decodificador BCD a 7 segmentos)
• Cables de conexiones
5.5 Montaje práctico

Se muestra en la fotografía de la figura 5-4 y no


debe tener ninguna complicación. Se recuerda
la necesidad de alimentar a todos los circuitos
integrados que se empleen. En este caso las
patillas 7 de cada uno de ellos se conectan a
GND y las patillas 14 a +5VDC.

Figura 5-4. Montaje del circuito simulador


5.6 Desarrollo de la práctica
ENTRADAS SALIDAS
Para la comprobación del circuito basta completar la siguiente tabla de la verdad y

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
Ci A B S Co
comprarla con la del apartado 5.2.
0 0 0
0 0 1
0 1 0
5.7 Trabajo personal 0 1 1
1 0 0
1 0 1
1 1 0
1 1 1

Consiste em emplear el dispositivo


integrado SN74LS283. Se trata de
un sumador completo de 4 bits cuyo
diagrama de pines y símbolo lógico
se muestra en la figura 5-5.

Figura 5-5. Diagrama de pines y símbolo


del sumador SN74LS238.

El esquema del circuito de la figura 5-6


consiste en realizar un sumador de 4 bits
empleando el dispositivo anterior. Montarlo
y completar la tabla de la verdad que se
expone a continuación.

FIGURA 5.6. Esquema del circuito


sumador de 4 bits.
MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 2: CIRCUITOS COMBINACIONALES 2/5 – 3

PRACTICA 5: El sumador

ENTRADAS SALIDAS
(Ci) DATO A DATO B (Co) SUMA
E7 E6 E5 E4 E3 E2 E1 E0 S3 S2 S1 S0
0 0 1 0 0 0 0 1 0
0 1 0 0 0 0 0 0 1
0 0 0 0 0 0 1 0 1
0 0 0 1 1 0 1 1 1
0 1 0 0 0 1 0 0 0
0 0 1 1 1 1 0 0 1
0 0 0 0 0 0 0 0 0
0 1 1 1 1 1 1 1 1
1 0 1 0 0 0 0 1 0
1 1 0 0 0 0 0 0 1
1 0 0 0 0 0 1 0 1 El esquema que se propone en la figura 5-7 es
1 0 0 1 1 0 1 1 1 similar al anterior en cuanto a que usa el sumador
1 1 0 0 0 1 0 0 0 completo de 4 bits SN74LS283. Para mejorar la
1 0 1 1 1 1 0 0 1 visualización del resultado se emplea, a modo
1 0 0 0 0 0 0 0 0 de “calculadora”, un display de 7 segmentos
1 1 1 1 1 1 1 1 1 con su correspondiente decodificador.

FIGURA 5.7. Sencilla “calculadora”.

ENTRADAS SALIDAS
Completar la siguiente tabla de la verdad DATO A DATO B SUMA
(Ci) (Co) DISPLAY
en la que se proponen diferentes sumandos E7 E6 E5 E4 E3 E2 E1 E0 S4 S3 S2 S1
de entrada. Anotar el resultado binario de 0 0 0 0 0 0 0 0 0
las sumas, presente en las salidas S1-S4 del 0 0 0 1 1 0 0 1 0
sumador, así como el símbolo que se 0 1 0 0 0 0 0 0 0
visualiza en el display. 0 0 1 1 1 0 1 0 1
Ingeniería de Microsistemas Programados S.L.

0 1 0 0 1 1 0 0 0
0 0 0 1 0 0 1 1 1
0 0 1 0 1 0 1 0 1
0 0 1 1 1 0 1 1 1
1 0 0 0 0 0 0 0 0
1 0 0 1 1 0 0 1 0
1 1 0 0 0 0 0 0 0
Se puede apreciar que bajo ciertas 1 0 1 1 1 0 1 0 1
condiciones el display visualiza símbolos 1 1 0 0 1 1 0 0 0
que no corresponden a números del 0 al 1 0 0 1 0 0 1 1 1
9. Dar una explicación del porqué de esas 1 0 1 0 1 0 1 0 1
situaciones. 1 0 1 1 1 0 1 1 1
2/5 – 2
PRÁCTICA

ANOTACIONES PERSONALES
ELECTRÓNICA DIGITAL
TEMA 2: CIRCUITOS COMBINACIONALES

MSE
MICROSYSTEMS
ENGINEERING

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 2: CIRCUITOS COMBINACIONALES 2/6 – 1

PRACTICA 6: El restador

6.1 Objetivos

Estudiar el funcionamiento de los circuitos digitales capaces de realizar la resta aritmética entre uno o más bits de entrada

6.2 Fundamentos teóricos básicos

ENTRADAS SALIDAS
A B Ci SR Co
0 0 0 0 0
0 1 0 1 1
1 0 0 1 0
1 1 0 0 0
0 0 1 1 1
0 1 1 0 1
1 0 1 0 0
1 1 1 1 1

El circuito más sencillo es el llamado “Restador Completo”. Es capaz


de restar dos bits (A - B) y tener en cuenta posibles llevadas previas
procedentes de otros circuitos restadores (Ci). A su salida genera el
bit resultante de la resta (Sr) y otro de posible llevada de salida si
se diera el caso (Co). El esquema por bloques se muestra en la figura
6-1 y debe responder a la siguiente tabla de la verdad.

FIGURA 6.1. Esquema por bloques y tabla de la verdad del restador completo.

Conectando varios restadores completos entre sí, se puede construir circuitos aritméticos capaces de restar dos valores de
varios bits cada uno. En la figura 6-2 se presenta el esquema por bloques de un circuito restador de 4 bits. Las entradas
A1-A4 forman los cuatro bits del minuendo, las entradas B1-B4 corresponden a los 4 bits del substraendo. El resultado se
obtiene por las salidas S1-S4. Así mismo se dispone de una entrada de llevada previa (Ci) y una salida de llevada en el 4º
bit (Co).
Ingeniería de Microsistemas Programados S.L.

FIGURA 6.2. Esquema por bloques de un circuito restador de 4 bits.


MSE
PRÁCTICA ELECTRÓNICA DIGITAL MICROSYSTEMS
2/6 – 2 TEMA 2: CIRCUITOS COMBINACIONALES ENGINEERING

PRACTICA 6: El restador

6.3 Esquema electrónico

El esquema electrónico de la figura 6-3 presenta un circuito restador completo de dos bits implementado con puertas
lógicas. El bit A introducido mediante E0 representa al minuendo. El bit B introducido mediante E1 representa al
substraendo.

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
FIGURA 6.3. Restador completo.

• Entrenador “UNIVERSAL TRAINER”


6.4 Materiales necesarios • U1 C.Integrado SN7486 (4 puertas EOR)
• U2 C.Integrado SN7404 (6 inversores)
• U3 C. Integrado SN7408 (4 puertas AND)
• U4 C.Integrado SN7432 (4 puertas OR)
• U2 C. Integrado SN74LS283 (sumador de 4 bits)
• Cables de conexión
6.5 Montaje práctico

Se insiste en la necesidad de alimentar a los cuatro dispositivos integrados que se emplean en el montaje. Las patillas
7 de cada uno se conectan con GND, las patillas 14 con +5VDC. El led de salida So representa el bit resultante de
las resta. El led de salida S1 representa la llevada de salida si la hubiera.

ENTRADAS SALIDAS
A B Ci SR Co
0 0 0
0 1 0
1 0 0
1 1 0
0 0 1
6.6 Desarrollo de la práctica 0 1 1
1 0 1
1 1 1

Para la comprobación del circuito basta con empletar la siguiente tabla de la verdad y
compararla con la del apartado 6.2.
MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 2: CIRCUITOS COMBINACIONALES 2/6 – 3

PRACTICA 6: El restador

6.7 Trabajo personal

Una técnica muy extendida en los circuitos


aritméticos digitales es la realización de
restas mediante suma de complementos.
De esta forma se puede emplear un único
circuito sumador y un circuito
complementador. Mediante la señal de
control CR se realiza la suma de los
operandos A y B o bien la suma del
operando A más el complemento del
operando B, lo que dará lugar a la resta de
ambos. Analizar y montar el circuito de la
figura 6-4.

FIGURA 6.4. Circuito


sumador/restador.

Cuando la señal de control CR (E9) vale “0”, la unidad ENTRADAS SALIDAS


complementaria formada por las puertas EOR de U1, DATO A DATO B RESULTADO
(Ci) (Co)
introducen los cuatro bits del dato B al circuito sumador E7 E6 E5 E4 E3 E2 E1 E0 S3 S2 S1 S0
U2. Se realiza la suma de ambos datos. Cuando la 0 0 1 0 0 0 0 1 0
señal de control CR (E9) vale “1”, la unidad 0 1 0 0 0 0 0 0 1
complementaria introducen al circuito sumador el 0 0 0 0 0 0 1 0 1
complemento a uno del dato B más uno de llevada 0 0 0 1 1 0 1 1 1
(complemento a dos). Este se suma con los bits del 0 1 0 0 0 0 1 0 1
dato A dando lugar a un resultado equivalente a la 0 0 1 1 1 1 0 0 1
resta de A menos B. 0 0 0 0 0 0 0 0 0
0 1 1 1 1 1 1 1 1
En este tipo de circuitos, en el caso de realizar la 1 0 1 0 0 0 0 1 0
operación de restar, tanto la entrada de llevada (Ci) 1 1 0 0 0 0 0 0 1
como salida de la misma (Co) se debe interpretar 1 0 0 0 0 0 1 0 1
invertida respecto al caso de realizar una suma. 1 0 0 1 1 0 1 1 1
1 1 0 0 1 0 1 0 1
Completar la siguiente tabla de la verdad. 1 0 1 1 1 0 0 1 1
1 0 1 0 0 0 1 0 0
1 1 1 0 1 1 0 0 1
Ingeniería de Microsistemas Programados S.L.

La fotografia de la figura 6-5 muestra el montaje del circuito


correspondiente al esquema de la figura 6-4.

FIGURA 6.5. Montaje del circuito sumador/restador.


2/6 – 4
PRÁCTICA

ANOTACIONES PERSONALES
ELECTRÓNICA DIGITAL
TEMA 2: CIRCUITOS COMBINACIONALES

MSE
MICROSYSTEMS
ENGINEERING

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 2: CIRCUITOS COMBINACIONALES 2/7 – 1

PRACTICA 7: Sumador con corrección a BCD

7.1 Objetivos
Realizar un circuito de suma aritmética cuyo resultado sea ajustado a los valores BCD comprendidos entre
el 0 (0000) y el 9 (1001).
Número Código BCD
7.2 Fundamentos teóricos básicos 0 0000
1 0001
Efectivamente, en anteriores prácticas relacionadas con circuitos aritméticos, 2 0010
se pudo observar como el resultado de la operación era una resultado 3 0011
binario puro que puede exceder del rango de los valores BCD representados 4 0100
a continuación: 5 0101
6 0110
Analizar la siguiente tabla donde se representan diferentes ejemplos de
7 0111
sumas que ofrecen distintos resultados.
8 1000
DATO A + DATO B = RESULTADO 9 1001

DATO A DATO B RESULTADO


BCD BINARIO BCD BINARIO BCD/HE X BINARIO
3 0011 5 0110 8 1000
2 0010 4 0100 6 0110
5 0101 7 0111 C 1100
7 0111 7 0111 E 1110
6 0110 4 0100 A 1010
9 1001 9 1001 2 0010 y llevo 1
7 0110 8 1000 F 1111
8 1000 8 1000 0 0000 y llevo 1

Se puede apreciar que, como consecuencia de


sumar ciertos dígitos BCD, el resultado obtenido
es mayor de 9 (1001) e incluso se genera llevada.

En estos casos se puede emplear lo que se llama


el ajuste decimal o BCD de forma que el resultado
final se corresponda exactamente con resultados
decimales.

Dicho ajuste se realiza con ayuda de un segundo


circuito sumador encargado de añadir 6 (0110) al
resultado obtenido por el primero. Sumar 6 equivale
en realidad a restar 10; 10 (1010) es el complemento
a 2 del número 6 (0110).

Se obtienen así resultados decimales comprendidos


entre 0 y 9 más la llevada si la hubiera.
Ingeniería de Microsistemas Programados S.L.

7.3 Esquema electrónico

La figura 7-1 muestra el esquema electrónico de un


circuito sumador con corrección a BCD.

Figura 7.1. Esquema del sumador con corrección a BCD.


MSE
PRÁCTICA ELECTRÓNICA DIGITAL MICROSYSTEMS
2/7 – 2 TEMA 2: CIRCUITOS COMBINACIONALES ENGINEERING

PRACTICA 7: Sumador con corrección a BCD


• Entrenador “UNIVERSAL TRAINER”
7.4 Materiales necesarios • U1-U2 C. Integrado SN74238 (2 sumadores de 4 bits)
• U3 C. Integrado SN7408 (4 puertas AND)
• U4 C. Integrado SN7432 (4 puertas OR)
• Cables de conexión
7.5 Montaje práctico

Deben alimentarse los cuatro circuitos integrados empleados. U1 y U2 se alimentan desde las patitas 16 +Vcc y 8 GND.
U3 y U4 se alimentan desde las patitas 14 + Vcc y 7 GND.

El sumador U1 realiza la suma de los operandos A y B de cuatro bits cada uno y que se introducen a través de los interruptores
E0-E3 y E4-E7 respectivamente. E9 sirve para introducir un posible acarreo previo de entrada.

El resultado binario de este sumador primario se aplica como sumando al segundo sumador U2. Las puertas lógicas contenidas
en U3 y U4 detectan si dicho resultado es mayor de 9 o bien si hubo llevada. En este caso el segundo sumador U2 recibe
como sumandos el resultado de la primera suma y el valor binario 0110 (6). El resultado ofrecido ahora es un resultado
convenientemente corregido a BCD.

Si tras la primera suma se obtiene un resultado igual o menor de 9, el segundo sumador U2 realiza la suma de dicho
resultado más 0000 (0). En este caso no hay necesidad de realizar la corrección.

7.6 Desarrollo de la práctica

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
ENTRADAS SALIDAS Completar la siguiente tabla de la verdad.
(Ci) DATO A DATO B (Co) RESULTADO
E7 E6 E5 E4 E3 E2 E1 E0 S3 S2 S1 S0
0 0 1 0 0 0 0 1 0
0 1 0 0 0 0 0 0 1
0 0 0 0 0 0 1 0 1
0 0 0 1 1 0 1 1 1
0 1 0 0 0 1 0 0 0
0 0 1 1 1 1 0 0 1
0 0 0 0 0 0 0 0 0
0 1 1 1 1 1 1 1 1
0 0 1 0 0 0 0 1 0
1 1 0 0 0 0 0 0 1
1 0 0 0 0 0 1 0 1
1 0 0 1 1 0 1 1 1
1 1 0 0 0 1 0 0 0
1 0 1 1 1 1 0 0 1
1 0 0 0 0 0 0 0 0
1 1 1 1 1 1 1 1 1

7.7 Trabajo personal

Como trabajo personal se propone realizar el montaje del


esquema de la figura 7-2. Se trata de visualizar sobre el
display de 7 segmentos el resultado BCD de la suma
realizada

Figura 7-2. Esquema de ampliación del sumador con corrección BCD.


MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 2: CIRCUITOS COMBINACIONALES 2/8 – 1

PRACTICA 8: Circuitos comparadores

8.1 Objetivos
Analizar el funcionamiento de estos circuitos capaces de comparar entre sí, dos
magnitudes numéricas binarias.

8.2 Fundamentos teóricos básicos

Efectivamente, los comparadores son circuitos de tipo combinacional


capaces de comparar dos números binarios y determinar si ambos son
iguales o cual de los dos es mayor (o menor). La figura 8-1 muestra
el esquema por bloques de un comparador elemental de dos bits.

Como resultado de comparar el bit del dato A con el bit del dato B, se
pueden generar tres posibles salidas: I = que el bit A y el bit B sean
iguales; M = que el bit A sea mayor que el bit B; m = que el bit A sea
menor que el bit B. La siguiente tabla de la verdad relaciona los dos
bits de entrada con las tres posibles salidas: FIGURA 8.1. Comparador
elemental de dos bits.

Partiendo de este circuito básico se pueden realizar


comparadores que comparen dos números de n bits,
como el mostrado en el esquema por bloques de la
figura 8-2, que compara dos números de cuatro bits
cada uno.
ENTRADAS SALIDAS
A B l M m
0 0 1 0 0
0 1 0 0 1
1 0 0 1 0
FIGURA 8.2. Comparador de 4 bits.
1 1 1 0 0

Se puede apreciar que está compuesto de cuatro comparadores. Cada


uno de ellos compara un bit del dato A con el correspondiente del
dato B. Se obtienen cuatro salidas individuales de igualdad: I3-I0;
cuatro de A mayor que B: M3-M0 y otras cuatro de A menor que
B: m3-m0.

Mediante las ecuaciones lógicas que se muestran a continuación se


pueden obtener tres únicas salidas globales que determinen si los
cuatro bits del dato A son iguales a los cuatro del dato B (I); si el
dato A es mayor que el B (M) o bien si el dato A es menor que el
B (m).
I = I0 • I1 • I2 • I3
M = M3 + I3 • M2 + I3 • I2 • M1 + I3 • I2 • I1 • M0
m=I•M
Ingeniería de Microsistemas Programados S.L.

8.3 Esquema electrónico

La figura 8-3 muestra el esquema electrónico de un


comparador de un bit (A) con otro (B).

FIGURA 8.3. Esquema de un comparador de 1 bit.


MSE
PRÁCTICA ELECTRÓNICA DIGITAL MICROSYSTEMS
2/8 – 2 TEMA 2: CIRCUITOS COMBINACIONALES ENGINEERING

PRACTICA 8: Circuitos comparadores

8.4 Materiales necesarios • Entrenador “UNIVERSAL TRAINER”


• U1 C. Integrado SN7404 (6 inversores)
• U2 C. Integrado SN7408 (4 Puertas AND)
• U3 C. Integrado SN7432 (4 puertas OR)
• U1 C. Integrado SN7485 (Comparador de 4 bits)
8.5 Montaje práctico • Cables de conexión

Se muestra en la fotografía de la figura 8-4. Alimentar a


los tres circuitos integrados que lo componen. Las patas
7 se conectan con GND y las 14 con +5VDC.

Los interruptores E0 y E1 introducen el bit del dato A y


el del dato B respectivamente. Los leds de salida S0, S1
y S2 informan del resultado de la comparación: I (igualdad),
M (A mayor que B) y m (A menor que B).

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
FIGURA 8.4. Montaje práctico del comparador de 1 bit.

ENTRADAS SALIDAS
8.6 Desarrollo de la práctica A
0
B
0
l M m

0 1
Una vez montado el circuito de la figura 8-3 basta completar la siguiente tabla de la
1 0
verdad y compararla con la del apartado 8.2 para comprobar el correcto funcionamiento.
1 1

8.7 Trabajo personal


El dispositivo integrado SN7485
consiste en un comparador de dos
números de 4 bits cada uno. La figura
8-5 muestra la distribución de pines
así como el símbolo del mismo.

FIGURA 8.5. Distribución de pines y


símbolo del comparador SN7485.

La siguiente tabla presenta una descripción de las patillas

Pin Nº Nombre Descripción


10, 12, 13, 15 A0, A1, A2, A3 Entrada de los cuatro bits correspondientes al dato A
9, 11, 14, 1 B0, B1, B2, B3 Entrada de los cuatro bits correspondientes al dato B
5 A>Bout Salida del comparador A>B
6 A>Bout Salida del comparador A=B
7 A>Bout Salida del comparador A<B
2 A<Bin Entrada desde un comparador previo A<B
3 A=Bin Entrada desde un comparador previo A=B
4 A>Bin Entrada desde un comparador previo A>B
8, 16 GND, VCC Entradas de alimentación a +5VCC
MSE
MICROSYSTEMS ELECTRÓNICA DIGITAL PRÁCTICA
ENGINEERING TEMA 2: CIRCUITOS COMBINACIONALES 2/8 – 3

PRACTICA 8: Circuitos comparadores


Se trata de un comparador de dos datos, A y B, de cuatro bits cada uno. Como resultado de la comparación de esos dos
datos, se generan tres posibles salidas:

• A>B: Se activa cuando el valor de los cuatro bits de la dato A es mayor que el valor del dato B

• A=B: Se activa cuando los cuatro bits del dato A son iguales a los cuatro bits del dato B

• A<B: Se activa cuando el valor de los cuatro bits del dato A es menor que el valor del dato B

Por otra parte dispone de tres entradas: A>B, A=B y A<B. Estas proceden de las respectivas salidas de comparadores previos.
Se puede así conectar comparadores en cascada que permitan realizar comparaciones con números de tantos bits como
sean necesarios.

Montar el circuito del esquema de la figura 8-6, cuyo montaje práctico se aprecia en la fotografía de la figura 8-7.

FIGURA 8.6. Esquema electrónico del comparador de cuatro bits.

FIGURA 8.7. Montaje práctico.

ENTRADAS SALIDAS
DATO A DATO B
Ingeniería de Microsistemas Programados S.L.

E7 E6 E5 E4 E3 E2 E1 E0 S2(A<B) S1(A=B) S0(AB)


1 0 0 1 0 1 0 1
0 0 0 1 1 0 0 0
0 0 1 1 0 0 1 1
0 1 1 0 0 1 0 1
1 1 0 1 0 0 1 1
0 1 1 1 1 1 1 0
0 0 0 0 0 0 0 1
Para comprobar el correcto funcionamiento del 1 1 1 1 1 1 1 1
comparador, se sugiere completar la siguiente tabla de 0 1 0 0 0 0 1 0
la verdad en la que se proponen diferentes valores tanto 1 1 0 0 0 1 1 1
para el dato A como para el dato B. 1 0 0 0 1 1 1 0
2/8 – 4
PRÁCTICA

ANOTACIONES PERSONALES
ELECTRÓNICA DIGITAL
TEMA 2: CIRCUITOS COMBINACIONALES

MSE
MICROSYSTEMS
ENGINEERING

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 3: CIRCUITOS SECUENCIALES 3/1 – 1

PRÁCTICA 1: Báscula R-S asíncrona

1.1 Objetivos
Presentar el funcionamiento de los circuitos secuenciales llamados básculas o “Flip-Flops” capaces de registrar
o memorizar un evento de entrada.

1.2 Fundamentos teóricos básicos


La báscula R-S asíncrona es el circuito secuencial
más simple que existe. Las salidas no sólo dependen
del estado actual de las entradas si no que también
dependen del estado anterior. La figura 1-1 representa
un esquema por bloques simplificado del mismo.
FIGURA 1.1. Esquema por bloques de la báscula R-S asíncrona.

Dispone de dos entradas, S (Set) y R (Reset). La primera, S, cuando se activa, pone a “1” la salida Q. La entrada R
por el contrario, cuando se activa, pone la salida Q a “0”. La salida No-Q siempre es lo contrario de Q.

Se trata de la célula elemental de memoria. Efectivamente, basta con activar momentáneamente una de los dos
entradas, para actuar sobre la salida Q. Si las entradas S o R están desactivas, la salida Q “recuerda” o conserva la
última acción llevada a cabo con ella. Se puede dar una situación de indeterminación o “prohibida” cuando ambas
entradas S y R están activadas al mismo tiempo.

1.3 Esquema electrónico


Se muestra en la figura 1-2

FIGURA 1.2. Báscula R-S con puertas NCR.

1.4 Materiales necesarios

• Entrenador “UNIVERSAL TRAINER”

• U1 C. Integrado SN7402 (4 puertas NOR)

• U1 C. Integrado SN7400 (4 puertas NAND)

• Cables de conexión
Ingeniería de Microsistemas Programados S.L.

1.5 Montaje práctico

El interruptor E0 actúa como entrada de activación


Set (S) mientras que E1 actúa como entrada de borrado
Reset (R). Al circuito integrado se le alimenta
conectando la pata 7 a GND y la 14 a +5VDC. La
figura 1-3 muestra la fotografía del montaje.
FIGURA 1.3. Montaje de la báscula R-S con puertas NOR.
PRÁCTICA ELECTRÓNICA DIGITAL
3/1 – 2 TEMA 3: CIRCUITOS SECUENCIALES

PRÁCTICA 1: Báscula R-S asíncrona

1.6 Desarrollo de la práctica S R Q /Q


0 0
Una vez montado el circuito completar la siguiente tabla de la verdad así como el diagrama 0 1
de tiempos que se muestra en la figura 1-4. 1 0
1 1

Comprobar que cuando las entradas S y R están en reposo (a “0”), la salida Q conserva el último estado anterior. Por otra
parte, cuando las entradas S y R están ambas “1” se produce el estado “prohibido” o de indeterminación. En esta situación,
se puede predecir cómo van a quedar las salidas Q y /Q (en este caso ambas a “0”). Lo que es desconocido es cómo quedarán
al desaparecer ese estado de indeterminación.
FIGURA 1.4. Diagrama de tiempos de una
báscula R-S asíncrona con puertas NOR.

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
1.7 Trabajo personal

El esquema de la figura 5-1 muestra la báscula R-S con


puertas NAND.

FIGURA 1.5. Báscula R-S


con puertas NAND.

La única pero notable diferencia respecto a la báscula R-S con puertas NOR, es que en esta ocasión las entradas S (set) y
R (Reset) son activas por lógica negada. Para que la salida Q se ponga a “1” es necesario activar S (Set) introduciendo un
“0”. Para poner la salida Q a “0”, se activa R (Reset) mediante “0”.

En situación de reposo las entradas S y R están a “1”. La salida Q no cambia de estado y conserva el último que tenía.

La situación de indeterminación o “prohibida” se produce cuando ambas entradas están a “0”. Tanto las salidas Q como /Q
quedan a “1”, pero se desconoce el estado al que retornarán cuando la situación de indeterminación finalice.

Montar el esquema anterior y completar la siguiente tabla de la verdad. Compararla con la correspondiente a la báscula
R-S con puertas NOR.

S R Q /Q
0 0
0 1
1 0
1 1

Finalmente completar el siguiente


diagrama de tiempos que se presenta en
la figura 1-6
FIGURA 1-6. Diagrama de tiempos de la báscula R-S con puertas NAND.
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 3: CIRCUITOS SECUENCIALES 3/2 – 1

PRÁCTICA 2: Báscula R-S síncrona

2.1 Objetivos
Presentar nuevos tipos de básculas que precisan de una señal de sincronismo adicional para la activación/desactivación
de su salida Q.

2.2 Fundamentos teóricos básicos

Las básculas síncronas son circuitos en los


que además de las ya conocidas señales de
entrada S y R hay una tercera señal llamada
“señal de reloj (CK)”.

Cuando se quiere poner la salida Q a nivel


lógico “1”, no basta con activar la señal
de entrada S (Set) sino que además la señal
Figura 2-1. Básculas R-S síncronas. de reloj CK debe estar activada.

forma, si se desea poner a “0” la salida Q, hay que activar tanto a la señal R (Reset) de borrado como la señal de reloj CK.

Cuando no hay señal S (Set) o R (Reset) o cuando tampoco hay señal de reloj CK, se considera el circuito en reposo. La
salida Q conserva el último estado. La condición de indeterminación o “prohibido” se produce cuando las tres señales de
entrada, S, R y CK están activadas.

La figura 2-1 muestra el esquema por bloques o símbolo de dos básculas R-S síncronas. Una de ellas dispone de una señal
de reloj activa por nivel “1” y la otra por nivel “0”.

2.3 Esquema electrónico


El esquema de la figura 2-2 muestra una
báscula síncrona construida con puertas
NOR y un circuito de entrada de reloj activo
por nivel “1”.

FIGURA 2.1. Básculas R-S síncronas.

2.4 Materiales necesarios


• Entrenador “UNIVERSAL TRAINER”

• U1 C. Integrado SN7408 (4 puertas AND)

• U2 C. Integrado SN7402 (4 puertas NOR)

• U1 C. Integrado SN74000 (4 puertas NAND)

• Cables de conexión
Ingeniería de Microsistemas Programados S.L.

2.5 Montaje práctico

Se muestra en la fotografía de la figura 2-3. Recordar


que se debe alimentar a todos y cada uno de los
circuitos integrados que se empleen. En este caso la
alimentación es GND por la patita 7 y +5VDC por la
patita 14 7 y +5VDC por la patilla 14.

FIGURA 2.3. Montaje de la báscula síncrona.


PRÁCTICA ELECTRÓNICA DIGITAL
3/2 – 2 TEMA 3: CIRCUITOS SECUENCIALES

PRÁCTICA 2: báscula R-S síncrona

ENTRADAS SALIDAS
2.6 Desarrollo de la práctica E0 (S) E1 (CK) E2 (R) Q /Q
0 0 0
0 0 1
Montado el circuito, comprobar su funcionamiento y completar tanto la siguiente 0 1 0
tabla de la verdad como el diagrama de tiempos mostrado en la figura 2-4. 0 1 1
1 0 0
1 0 1
1 1 0
1 1 1

FIGURA 2.4. Diagrama de tiempos de una


báscula R-S síncrona con puertas NOR.

2.7 Trabajo personal

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
Se propone montar el circuito de la figura
2-5. Se trata de otra báscula R-S síncrona
similar a la anterior. Se emplean puertas
NAND tanto para construir la báscula
propiamente dicha como para el circuito de
entrada de reloj. Este también es activo por
nivel “1”.

Se puede apreciar que con las modificaciones


apropiadas, se puede obtener cualquier tipo
de báscula en las que las señales S (Set), R
(Reset) y CK sean activas niveles “1” o bien
por niveles “0”.

FIGURA 2.5. Esquema de una báscula R-S síncrona con puertas NAND.

Completar la siguiente tabla de la verdad con el diagrama de tiempos de la figura 2-6

ENTRADAS SALIDAS
E0 (S) E1 (CK) E2 (R) Q /Q
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1

FIGURA 2.6. Diagrama de tiempos de la báscula R-S con puertas NAND.


ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 3: CIRCUITOS SECUENCIALES 3/3 – 1

PRÁCTICA 3: Báscula tipo D

3.1 Objetivos
Presentar el funcionamiento de la báscula más sencilla de manejar como es la tipo D.

3.2 Fundamentos teóricos básicos

Efectivamente la tipo D es
una báscula de tipo
síncrona fácil de emplear.
Tan sólo dispone de una
única línea de entrada de
datos (D) más la señal de
reloj CK. La figura 3-1
presente el símbolo
abreviado de este modelo
FIGURA 3.1. Símbolo del flip-flop tipo D. de báscula.

La salida Q presenta el estado de la entrada de datos D cuando la señal de reloj CK esté activada. Se recuerda que, tal y
como se muestra en la figura anterior, dicha señal de reloj puede ser activa por “1” o por nivel “0”. Si no hay señal de reloj
la salida Q conserva el estado anterior.

3.3 Esquema electrónico

La figura 3-2 muestra el esquema de una báscula tipo D con entrada de reloj activa por nivel “1”.
Ingeniería de Microsistemas Programados S.L.

FIGURA 3.2. La báscula tipo D.


PRÁCTICA ELECTRÓNICA DIGITAL
3/3 – 2 TEMA 3: CIRCUITOS SECUENCIALES

PRÁCTICA 3: Báscula tipo D

3.4 Materiales necesarios • Entrenador “UNIVERSAL TRAINER”

• U1 C.Integrado SN7404 (6 inversores)

• U2 C. Integrado SN7400 (4 puertas NAND)

• Cables de conexión

3.5 Montaje práctico

Primeramente alimentar a los circuitos integrados U1 y U2. La patilla 7 de ambos se conecta a GND y la patilla 14 a +5VDC.
El interruptor E0 corresponde con la entrada de datos D. A través de E1 se aplica la señal de reloj.

3.6 Desarrollo de la práctica

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
Al completar la siguiente tabla de la verdad, así como el diagrama de tiempos de la figura 3-3, queda definido el funcionamiento
de la báscula tipo D.

FIGURA 3.3. Diagrama


de tiempos de la
báscula tipo D.

Del análisis de los resultados


ENTRADAS SALIDAS
obtenidos, se desprende un detalle
importante de la báscula tipo D, que E1 (CK) E0 (D) Q /Q
0 0
la diferencia de las anteriores: no
0 1
existe estado de indeterminación.
1 0
La señal de reloj puede conectarse al 1 1
generador lógico del entrenador en
lugar de al interruptor E1. Se consigue
de esta forma que la salida Q se cargue
periódicamente con el estado lógico
presente en la entrada de datos D
(E0).
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 3: CIRCUITOS SECUENCIALES 3/4 – 1

PRÁCTICA 4: Báscula J-K

4.1 Objetivos
Presentar las características de este tipo de báscula que la hace distinguirse de todos los tipos estudiados
hasta el momento.

4.2 Fundamentos teóricos básicos

En primer lugar hay que decir que se trata de una báscula síncrona
y que las señales de entrada pasan a llamarse J y K en lugar de
S y R, pero la finalidad de estas señales es la misma. Activando
la entrada J, la salida Q pasa a “1”. Activando la entrada K, la
salida Q se carga con “0”.

La figura 4-1 muestra el símbolo de la báscula J-K, donde además


de las señales ya conocidas, puede disponer de otras señales de FIGURA 4.1. Símbolo de la báscula J-K.
entrada adicionales.

Una diferencia a destacar consiste en la señal de reloj. Se dice que es activa por flanco de subida o flanco de
bajada en lugar de por nivel como venía siendo hasta ahora. Nótese, en la figura anterior, el símbolo empleado
para describir la señal de reloj.

La otra diferencia se encuentra en el hecho de que no hay situación de indeterminación. Efectivamente, cuando
J y K ambas están a “1” y se aplica un pulso de reloj, la salida Q pasa a valer lo contrario de lo que tenía. Esta
situación es conocida como basculado de la salida o “TOGGLE”.

Finalmente, es posible encontrar básculas J-K con señales adicionales que permiten una puesta a “1” inicial de
Q (PRESET) o una puesta a “0” (CLEAR). Dichas señales reciben el nombre de PR y CL respectivamente y son
totalmente asíncronas, no dependen ni de J ni de K ni tampoco del reloj CK. Dichas señales son totalmente
opcionales y, según modelos, serán activas por “0” o por “1”.

El dispositivo integrado SN7476 contiene en su interior dos básculas J-K completas y totalmente independientes
entre sí.

La figura 4-2 muestra el encapsulado de este dispositivo al que acompaña una tabla con la descripción de cada
una de sus patillas.

Pin Nº NOMBRE DESCRIPCION


1, 6 1CLK, 2CLK Entradas de reloj activo por flanco descendente para
ambas básculas
2, 7 1PRE, 2PRE Entradas asíncronas de pre activado activas por “0”,
para ambas básculas
Ingeniería de Microsistemas Programados S.L.

3, 8 1CLR, 2CLR Entradas asíncronas de pre borrado activas por “0”,


para ambas básculas
4, 9 1J, 2J Entradas síncronas de activación para ambas básculas
16, 12 1K, 2K Entradas síncronas de borrado para ambas básculas
15, 11 1Q, 2Q Salidas de ambas básculas
14, 10 1/Q, 2/Q Salidas invertidas de ambas básculas
5, 13 Vcc, GND Señales de alimentación a +5VDC
FIGURA 4.2. El dispositivo SN7476.
PRÁCTICA ELECTRÓNICA DIGITAL
3/4 – 2 TEMA 3: CIRCUITOS SECUENCIALES

PRÁCTICA 4: Báscula J-K

4.3 Esquema electrónico

Es el mostrado en la figura 4-3. Se propone


experimentar con una de las dos básculas
contenidas en el C. Integrado SN7476.

FIGURA 4.3. Circuito de experimentación


con la báscula J-K.

4.4 Materiales necesarios 4.5 Montaje práctico

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
• Entrenador “UNIVERSAL TRAINER”
Prestar atención a la hora de alimentar al circuito
• C1 Condensador electrolítico de 1µF
SN7476. En contra de lo que se hacía hasta el
• R1 Resistencia de 330Ω momento, la patilla 5 se conecta a +5VDC y la patilla
13 a GND.
• U1 C. Integrado SN7414 (6 inversores trigger)
• U2 C. Integrado SN7476 (2 básculas J-K) Las puertas trigger contenidas en U1 (7414) junto
con el condensador C1 y la resistencia R1 tratan,
• U3 C. Integrado SN7404 (6 inversores) en la medida de los posible, de evitar los rebotes
provocados por cualquier dispositivo electromecánico,
• Cables de conexión
en este caso el pulsador E10.

4.6 Desarrollo de la práctica

Completar la siguiente tabla de la verdad así como el diagrama de tiempos propuesto en la figura 4-4.

ENTRADAS SALIDAS
PR (E3) CL (E4) J (E0) K (E1) CK (E10) Q /Q
0 1 X X X
1 0 X X X
1 1 X X 0
1 1 0 0
1 1 0 1
1 1 1 0
1 1 1 1
FIGURA 4.4. Diagrama de tiempos para una báscula J-K.

A la vista del diagrama de tiempos anterior se puede decir que, cuando J-K valen 1, la salida Q cambia de estado con cada
pulso de reloj. La frecuencia que se obtiene en Q es la mitad de la del CK, es decir, se divide la frecuencia entre dos. Conectar
la entrada de reloj CK con el generador lógico del entrenador, aplicándola directamente a la patilla 1 de U2A pues está libre
de rebotes. Elegir una frecuencia baja tomada desde las salida 128 del generador y con los 3 switches en ON. Se puede
apreciar que, efectivamente, la salida Q parpadea la mitad de veces que la salida del generador.
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 3: CIRCUITOS SECUENCIALES 3/4 – 3

PRÁCTICA 4: Báscula J-K

4.7 Trabajo personal

Si en una báscula J-K se unen entre sí las entradas J y K mediante un inversor, se obtiene una báscula tipo D con reloj
activado por flanco en lugar de por nivel. El esquema de la figura 4-5 propone la construcción de una báscula tipo D
activada por el flanco descendente del reloj CK.

FIGURA 4.5. Báscula


tipo D activada por
flanco descendente
de reloj.

Montar el circuito y completar el diagrama de tiempos de la figura 4-6.


Ingeniería de Microsistemas Programados S.L.

FIGURA 4.6. Diagrama de tiempos correspondiente a la báscula tipo D activada por flanco.

Comparando este diagrama con el de la figura 3-3 de la práctica anterior, anotar a continuación las diferencias más notables
entre ambos.
3/4 – 4
PRÁCTICA

ANOTACIONES PERSONALES
ELECTRÓNICA DIGITAL
TEMA 3: CIRCUITOS SECUENCIALES

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 3: CIRCUITOS SECUENCIALES 3/5 – 1

PRÁCTICA 5: Entretenimiento: alarma por rotura de un conductor

5.1 Objetivos
Mostrar una de las múltiples utilidades de las básculas R-S. En este caso se trata de detectar una condición
de alarma y provocar el correspondiente disparo.

5.2 Fundamentos teóricos básicos

La idea general de toda báscula, es que actúa como elemento o célula básica de memoria. Es por tanto capaz de registrar
un suceso o señal de entrada y memorizarlo a la salida. Aunque posteriormente desaparezca el suceso que originó el
estado actual de la salida, el circuito lo sigue recordando, por lo que dicha salida no cambia de estado.

En el caso de la alarma aquí sugerida es evidente la necesidad de emplear una báscula. Se produce una señal de entrada
que provoca el disparo de la alarma. Esta sigue activada aunque la señal de entrada que originó el disparo desaparezca.

5.3 Esquema electrónico

FIGURA 5.1. Alarma por rotura de cable.


Ingeniería de Microsistemas Programados S.L.

• Entrenador “UNIVERSAL TRAINER”


• U1 C. Integrado 4011 (4 puertas NAND CMOS)
• R1 y R3 Resistencias de 10K
• R2 Resistencia de 100K
5.4 Materiales necesarios
• R4 Resistencia de 1MW
• C1-C2 Condensadores de 100n
• Cables de conexión
PRÁCTICA ELECTRÓNICA DIGITAL
3/5 – 2 TEMA 3: CIRCUITOS SECUENCIALES

PRÁCTICA 5: Entretenimiento: alarma por rotura de un conductor


5.5 Montaje práctico

Es el mostrado en la fotografía de la figura


5-2. Se emplea el dispositivo CMOS 4011.
Este puede alimentarse con una tensión de
+3VDC a +15VDC. En este ejemplo se
alimenta a +5VDC.

FIGURA 5.2. Fotografía del montaje


del circuito de alarma.

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
5.6 Desarrollo de la práctica
El esquema del circuito de alarma propuesto se puede dividir en dos partes bien diferencias. Las puertas U1C y U1D conforman
un circuito multivibrador capaz de generar una onda cuadrada cuya frecuencia viene determinada por el valor de R4 y C2.
Dicha frecuencia se aplica al led de salida S0 que pilota la situación de alarma. El generador se ponen en marcha cuando
la patilla 9 de U1C esté a nivel “1”.

Por otra parte las puertas U1A y U1B forma una báscula R-S asíncrona. Cuando se conecta la alimentación al circuito, la
red R-C formada por R2 y C1 garantiza que la salida de la báscula, patilla 3 de U1A, esté desactivada a nivel “0” bloqueando
así al multivibrador. Para ello se genera una señal de reset (R) en la patilla 6 de U1B a partir de la conexión de alimentación
y durante un tiempo determinado por R2 y C2.

En situación normal de reposo, la patilla 1 de U1A está a nivel “1”. Esta patilla se corresponde con la señal Set de activación
(S) de la báscula. Cuando se corta el conductor que la lleva a +5VDC, se aplica un nivel “0” gracias a R1. Se genera así la
señal de activación S, la pata 3 de U1A que se corresponde con la salida Q de la báscula pasa a “1” y el multivibrador se
activa a modo de alarma. Aunque se vuelva a unir el conductor, la alarma sigue activada. Para reponerla es necesario no
sólo unir el conductor, sino que también hay que apagar y volver a encender el circuito.

Montar el circuito y verificar su funcionamiento según lo explicado.

ANOTACIONES PERSONALES
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 3: CIRCUITOS SECUENCIALES 3/6 – 1

PRACTICA 6: Entretenimiento: “el más rápido”

6.1 Objetivos
Mostrar una de las múltiples utilidades de la báscula J-K estudiada anteriormente.

6.2 Fundamentos teóricos básicos

La práctica propone realizar un circuito que detecte la pulsación más rápida realizada entre dos
“concursantes”. Para ello se van a emplear dos básculas J-K conectadas de forma que la salida de una
bloquea a la contraria poniéndola a “0”. La salida que prevalece activada corresponderá a aquella báscula
que reciba primero un pulso de reloj.

6.3 Esquema electrónico Se muestra en la figtura 6-1.

FIGURA 6.1. Esquema del


circuito “más rápido”.

6.4 Materiales necesarios 6.5 Desarrollo de la práctica

• Entrenador “UNIVERSAL TRAINER” El circuito se divide en dos partes. Por una lado las puertas
NAND CMOS (U1) hacen las veces de temporizador. Cuando
• U1 C. Integrado 4011 (4 puertas NAND CMOS) el interruptor de START (E0) se pone a “1” la salida (S7)
pasa a “1” transcurrido un tiempo determinado por R1 y
• U2 C. Integrado SN7476 (2 básculas J-K) C1. Mientras tanto se mantiene a “0” que, aplicado a las
entradas CLEAR (CL) de las básculas U2A y U2B, hace que
• R1 Resistencia de 1M
las salidas de las mismas permanezcan bloqueadas a “0”.
• C1 Condensador de 1µF
Ingeniería de Microsistemas Programados S.L.

Transcurrida la temporización, la salida S7 se pone a “1”


• Cables de conexión y las básculas se desbloquean. En este momento los jugadores
pueden accionar sus respectivos pulsadores (E10) y (E11).

Las señales de estos van a parar a las entradas de reloj (CK) de cada báscula. Las entradas J y K de estas se toman
desde las salidas /Q y Q de la báscula contraria. De esta forma aquella que primero reciba la señal de reloj se activará
poniendo a “1” su salida, al tiempo que la otra queda definitivamente a “0”.

Una nueva partida dará comienzo cuando el interruptor START (E0) se ponga a “0”. En este momento las salidas de
ambas básculas vuelven a la situación de reposo “0”, el condensador C1 se descarga, la salida S7 se pone también a
“0” y el ciclo volverá a repetirse cuando E0 pase nuevamente a “1”.

Montar el circuito y analizar su comportamiento.


3/6 – 2
PRÁCTICA

ANOTACIONES PERSONALES
ELECTRÓNICA DIGITAL
TEMA 3: CIRCUITOS SECUENCIALES

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 3: CIRCUITOS SECUENCIALES 3/7 – 1

PRACTICA 7: Registros

7.1 Objetivos 7.2 Fundamentos teóricos básicos

Estudiar el funcionamiento de estos circuitos digitales Un registro consiste en un conjunto de básculas tipo D en las que
que son capaces de almacenar una información binaria la señal de reloj es común a todas ellas. De esta forma una única
de varios bits. señal de reloj hará que cada báscula recoja y memorice el bit
presente en sus respectivas entradas. Estamos hablando de un
registro de entrada de información en paralelo y salida en paralelo.

7.3 Esquema electrónico En la figura 7-1 se muestra el esquema electrónico de un registro


de 4 bits de entrada/salida paralelo. Está construido a partir de
básculas J-K con sus correspondientes inversores que las convierte
en básculas tipo D activas al flanco descendente de la señal común
de reloj.

FIGURA 7.1. Registro de entrada/salida paralelo de 4 bits.

• Entrenador “UNIVERSAL TRAINER”


Ingeniería de Microsistemas Programados S.L.

• U1 C. Integrado SN7414 (6 inversores trigger)


• U2 C.Integrado SN7404 (6 inversores)
7.4 Materiales necesarios
• U3-U4 C. Integrados SN7476 (2 básculas J-K c/u)
• U2 C. Integrado SN74373 (registro de 8 bits)
• R1 Resistencia de 330 W
• C1 Condensador de 1µF
• Cables de conexión
PRÁCTICA ELECTRÓNICA DIGITAL
3/7 – 2 TEMA 3: CIRCUITOS SECUENCIALES

PRACTICA 7: Registros

7.5 Montaje práctico

Alimentar debidamente a los dispositivos integrados


empleados. U1 y U2 reciben tensión +5VDC por la pata
14 y GND por la 7. U3 y U4 se alimentan por las patas
5 (+5VDC) y 13 (GND). La fotografía de la figura 7-2
muestra el aspecto del montaje.

FIGURA 7.2. Montaje práctico del registro de 4 bits


7.6 Desarrollo de la práctica

Mediante los interruptores E3-E0 se introducen los bits D3-D0 del dato que se desea registrar o memorizar. El pulsador
E10 actúa como generador de la señal de reloj. Las puertas trigger U1A y U1B junto con C1 y la resistencia R1, eliminan
los rebotes de E10. La mejor forma de analizar el funcionamiento del circuito es completando el diagrama de tiempos de
la figura 7-3. En el se representa unas supuestas combinaciones en los bits de entrada y, en función de la señal de reloj,

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
se debe determinar cual es la información de los bits de salida.

FIGURA 7.3. Diagrama de tiempos de un registro de 4 bits con reloj activo al flanco descendente.

7.7 Trabajo personal


El dispositivo integrado SN74373 contiene en su interior un registro de 8 bits completo con
señal de reloj activa por nivel “1” (no por flanco) y con señal para el control tri estado de
las salidas. La disposición de patillas se presenta en la figura 7-4, junto con la descripción
de las mismas.

Nº PIN NOMBRE DESCRIPCION

3,4,7,8,13,14,17,18 1D ------- 8D Entradas para cada bascula del registro.

2,5,6,9,12,15,16,19 1Q ------- 8Q Salidas de cada una de las básculas del registro

1 /OC Control de las salidas. Cuando está a “0” las salidas quedan en
alta impedancia. A “1” las salidas presentan el dato memorizado.

11 G Señal de reloj. Cuando está a “1” las entradas quedas registradas en


las salidas. A nivel “0” las salidas conservan el estado anterior

FIGURA 7.4. El SN74373. 10, 20 GND, VCC Entrada de alimentación a +5VDC


ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 3: CIRCUITOS SECUENCIALES 3/7 – 3

PRACTICA 7: Registros
La figura 7-5 muestra el esquema con la arquitectura interna de este
registro.

FIGURA 7.5. Arquitectura interna del registro SN74373.

Montar el circuito propuesto en la figura 7-6 para poder comprobar el


funcionamiento de este registro de entrada/salida paralelo de 8 bits. A
continuación completar el diagrama de tiempos de la figura 7-7 prestando
especial atención a la señal de reloj. Existe una diferencia sustancial
entre esta señal de reloj y la del circuito de la figura 7-1. Comprobarlo.

FIGURA 7.6. El registro de 8 bits SN74373.


Ingeniería de Microsistemas Programados S.L.

FIGURA 7.7. Diagrama de tiempos.


3/7 – 4
PRÁCTICA

ANOTACIONES PERSONALES
ELECTRÓNICA DIGITAL
TEMA 3: CIRCUITOS SECUENCIALES

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 3: CIRCUITOS SECUENCIALES 3/8 – 1

PRACTICA 8: Registros de desplazamiento

8.1 Objetivos

Construcción de un registro de desplazamiento de 8 bits y análisis de la evolución del mismo durante l carga de una
información.

8.2 Fundamentos teóricos básicos

Un registro de desplazamiento consta de tantas básculas como bits se desee cargar. La configuración típica consiste en
conectar la salida de una báscula con la entrada de la siguiente. La señal de reloj es común a todas las básculas. La entrada
de información se aplica secuencialmente por la primera de las básculas bit a bit. Cada bit va acompañado de su correspondiente
pulso de reloj. Se obtiene así un registro de entrada serie y salida en paralelo.

8.3 Esquema electrónico

Se muestra en la figura 8-1. Consiste en un registro de 4 bits de entrada serie por la primera báscula y salida en paralelo.
Cada bit de salida se obtiene por la salida Q de cada una de las básculas.
Ingeniería de Microsistemas Programados S.L.

FIGURA 8.1. Registro de desplazamiento de entrada serie salida paralelo.


PRÁCTICA ELECTRÓNICA DIGITAL
3/8 – 2 TEMA 3: CIRCUITOS SECUENCIALES

PRACTICA 8: Registros de desplazamiento

8.4 Materiales necesarios • Entrenador “UNIVERSAL TRAINER”

• U1 C. Integrado SN7414 (6 inversores trigger)

• U2-U3 C. Integrado SN7476 (dos básculas J-K


cada uno)

• C1 Condensador electrolítico de 1 µF

• R1 Resistencia de 330Ω

8.5 Montaje práctico • Cables de conexión

Se muestra en la fotografía de la figura 8-2. El dispositivo SN7414 (U1) se alimenta desde la 14 (+5VCC) y 7 (GND). Los
dispositivos SN7476 (U2-U3) desde la patitas 5 (+5VDC) y 13 (GND).

ANOTACIONES PERSONALES

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
FIGURA 8.2. Montaje del registro de desplazamiento

8.6 Desarrollo de la práctica

Montar el circuito y completqar el diagrama


de tiempos de la figura 8-3.
FIGURA 8.3. Diagrama de tiempos del registro de desplazamiento de 4 bits.
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 3: CIRCUITOS SECUENCIALES 3/9 – 1

PRACTICA 9: Contadores binarios

9.1 Objetivos

Presentar y analizar el funcionamiento de estos dispositivos digitales capaces de llevar la cuenta binaria del número de
pulsos de entrada que reciben

9.2 Fundamentos teóricos básicos

Un contador es un circuito construido a base de básculas. Es capaz de contar, en binario, el número de pulsos de reloj que
se le aplican. Dichos pulsos pueden proceder de diversas fuentes: sensores, finales de carrera, pulsadores, etc. La máxima
cuenta que puede llevar un circuito contador recibe el nombre de “módulo”. El módulo de un contador binario puro se
determina por 2n. Donde n representa el número de básculas de que consta el circuito. Así un contador de 4 bits (4 básculas)
tiene un módulo de 16.

En ocasiones el módulo de un contador puede modificarse añadiendo circuitería adicional de modo que, cuando el contador
alcance el valor deseado, se produzca un borrado del mismo y se reanude nuevamente la cuenta.

Según se construya el circuito, el contador puede ser ascendente (UP) o descendente (DOWN), en cuyo caso se descuenta
una unidad por cada pulso de entrada recibido.

9.3 Esquema electrónico

El esquema de la figura 9-1 corresponde a un contador binario ascendente de 4 bits. El módulo del mismo es de 15.
Ingeniería de Microsistemas Programados S.L.

FIGURA 9.1. Contador ascendente.


PRÁCTICA ELECTRÓNICA DIGITAL
3/9 – 2 TEMA 3: CIRCUITOS SECUENCIALES

PRACTICA 9: Contadores binarios


• Entrenador “UNIVERSAL TRAINER”
9.4 Materiales necesarios • U1 C. Integrado SN7414 (6 inversores trigger)

• U2-U3 C. Integrado SN7476 (2 básculas J-K cada uno)

• C1 Condensador electrolítico de 1µF

• R1 Resistencia de 330Ω

• Cables de conexión

9.5 Montaje práctico

Se muestra en la fotografía de la figura 9-2.

FIGURA 9.2. Fotografía del montaje


del contador binario de 4 bits.

9.6 Desarrollo de la práctica

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
Los inversores trigger U1A y U1B junto con el condensador C1 y la resistencia R1, eliminan el efecto rebote que produce
el pulsador E10 cada vez que es accionado. De no eliminarse dicho efecto, cada pulsación puede ser interpretada como
varios pulsos de entrada a contar.

Este efecto se puede comprobar si se conecta directamente el pulsador E10 con la entrada de reloj en la patilla 1 del
integrado U2A.

La entrada de reloj se puede conectar a una de las salidas del generador lógico. Seleccionar una baja frecuencia en el
mismo. Esta salida del generador está limpia de rebotes por lo que se puede conectar directamente a la patilla 1 de U2A.
En este caso los inversores trigger U1A y U1B quedan anulados.

Completar el diagrama de tiempos de la figura 9-3. A la vista del mismo se puede comprobar que, efectivamente, el módulo
del contador es de 16. Igualmente se puede comprobar que la frecuencia en la salida Q de cualquier báscula, es la mitad
de la frecuencia de reloj que le entra a la misma por CK. Así, en S0 se tiene la mitad de frecuencia del reloj de entrada,
en S1 la mitad de S0, en S2 la mitad de S1 y, finalmente, en S3 la mitad de S2.

FIGURA 9.3. Diagrama de


tiempos de un contador
ascendente de 4 bits.
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 3: CIRCUITOS SECUENCIALES 3/9 – 3

PRACTICA 9: Contadores binarios


9.7 Trabajo personal
El esquema de la figura 9-4 corresponde a un contador descendente (DOWN) de cuatro bits y de módulo
16. Montarlo y comprobar su funcionamiento.

FIGURA 9.4. Contador descendente.

Se puede apreciar que la diferencia básica entre un contador ascendente (UP) y otro descendente (DOWN) consiste
en que la entrada de reloj de una báscula se toma desde la salida Q de la que la precede, en el primer caso, o desde
la salida /Q para el segundo caso.

Al igual que el anterior, la cuenta se lleva a cabo en binario y se puede comprobar que el efecto divisor de frecuencia
es similar al del contador ascendente. Completar el diagrama de tiempos de la figura 9-5.
Ingeniería de Microsistemas Programados S.L.

FIGURA 9.5. Diagrama de tiempos para un contador


descendente.
3/9 – 4
PRÁCTICA

ANOTACIONES PERSONALES
ELECTRÓNICA DIGITAL
TEMA 3: CIRCUITOS SECUENCIALES

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 3: CIRCUITOS SECUENCIALES 3/10 – 1

PRACTICA 10: Contador UP/DOWN con precarga

10.1 Objetivos
Presentar el funcionamiento del dispositivo integrado SN74LS169.

10.2 Fundamentos teóricos básicos


Se trata de un contador binario ascendente/descendente de 4 bits con posibilidad de precarga que permite
iniciar la cuenta a partir de un determinado valor. La figura 10-1 y la tabla que la acompaña muestra
el diagrama de conexiones así como una descripción de los mismos.

Pin Nº NOMBRE DESCRIPCION


1 U/D Entrada de control UP/DOWN. Cuando está a “1”
se cuenta en ascendente, a “0” en descendente.
2 CLK Entrada de pulsos a contar. Estos son activos por
flanco ascendente.
3, 4, 5, 6 A, B, C, D Entradas de precarga. A través de ellas se introduce
el valor inicial de la cuenta.
7, 10 /ENP, /ENT Entradas de habilitación. Deben estar ambas a “0”,
en caso contrario la cuenta queda detenida.
9 /LOAD Entrada activa por “0”. Cada vez que se genera, y
se produce una señal de reloj, el contador queda
cargado con el valor binario presente en las entradas
FIGURA 10.1. A,B,C y D.
Cápsula del SN74LS169.
14, 13, 12, 11 QA, QB, QC, QD Salidas binarias del contador
15 /RCO Salida de desbordamiento. Genera un pulso con
una duración similar a la de los pulsos a contar,
cada vez que haya un sobrepasamiento en la cuenta
8, 16 GND, VCC Entradas de alimentación a +5VDC

10.3 Esquema electrónico


Se muestra en la figura 10-2.

FIGURA 10.2. El contador UP/DOWN con pre carga.


Ingeniería de Microsistemas Programados S.L.
PRÁCTICA ELECTRÓNICA DIGITAL
3/10 – 2 TEMA 3: CIRCUITOS SECUENCIALES

PRACTICA 10: Contador UP/DOWN con precarga

10.4 Materiales necesarios • Entrenador “UNIVERSAL TRAINER”

• U1 C. Integrado SN7414 (6 inversores trigger)

• U2 C. Integrado SN74LS169 (contador UP/DOWN)

• C1 Condensador electrolítico de 1µF

10.5 Montaje práctico • R1 Resistencia de 330Ω

• Cables de conexión

El pulsador E10 genera los pulsos a contar. Esta provisto del ya conocido circuito anti rebotes formado por las puertas
trigger U1A y U1B junto con el condensador C1 y la resistencia R1.

El resultado de la cuenta se obtiene por las salidas QA-QD que se representan en los leds S0-S3. L salida RC0 reflejada en
S7 indica sobrepasamiento de la cuenta (“overflow”).

Los interruptores E0-E3 introducen por las entradas A-D el valor inicial de la cuenta cada vez que la entrada LOAD (E7)
vale “0” y se recibe un pulso de reloj. Mediante la entrada U/D (E8) se selecciona entre una cuanta ascendente (E8=”1”) o
descendente (E8=”0”).

Finalmente las entradas ENT y ENP (E9) permiten inhibir al contador cuando se ponen a “1”.

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
10.6 Desarrollo de la práctica y trabajo personal

La forma idónea para determinar el


funcionamiento de este contador, es
completar debidamente el diagrama
de tiempos de la figura 10-3 y
analizarlo con cuidado. Para ello, se
supone que se hace una carga inicial
con el valor binario de entrada 1101
(13) que se introduce mediante los
interruptores: E3=1, E2=1, E1=0 y
E0=1.

FIGURA 10.3. Diagrama de tiempos del


contador UP/DOWN.
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 3: CIRCUITOS SECUENCIALES 3/11 – 1

PRACTICA 11: La década

11.2 Fundamentos teóricos básicos


11.1 Objetivos

Se trata de un dispositivo integrado compuesto básicamente


Analizar el funcionamiento del década
de 4 básculas y que es capaz de contar, en BCD, desde el
contadora SN7490.
0 hasta 9. La figura 11-1 muestra la disposición de patillas
y, la tabla que la acompaña, proporciona una descripción
de las mismas.

Pin Nº NOMBRE DESCRIPCION


1 CKB Entrada B de reloj
14 CKA Entrada A de reloj
2, 3 R01, R02 Puesta a 0
6, 7 R91, R92 Puesta a 9
12, 9, 8, 11 QA, QA, QC, QD Salidas del contador
5, 10 VCC, GND Entradas de alimentación a +5VDC

FIGURA 11.1. Cápsula del SN74LS90.

11.3 Esquema electrónico


La figura 11-2 muestra el esquema práctico para experimentar con la década integrada en el dispositivo
SN74LS90.
Ingeniería de Microsistemas Programados S.L.

FIGURA 11.2. Esquema del contador BCD.


PRÁCTICA ELECTRÓNICA DIGITAL
3/11 – 2 TEMA 3: CIRCUITOS SECUENCIALES

PRACTICA 11: La década

• Entrenador “UNIVERSAL TRAINER”


11.4 Materiales necesarios
• U1 C. Integrado SN7414 (6 inversores trigger)

• U2 C. Integrado SN74LS90 (década contadora)

• C1 Condensador electrolítico de 1µF

• R1 Resistencia de 330Ω

• Cables de conexión

11.5 Montaje práctico

La alimentación de este dispositivo integrado se realiza a través de las patillas 5 (+5VDC) y 10 (GND). Los
interruptores E0 y E1 van a parar a las señales de entrada de puesta a 0 y puesta a 9 del contador. Son activas
por nivel “1”.

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
Los pulsos a contar se introducen a través del pulsador E10 con el conocido circuito antirrebotes. Estos pulsos
también se puede introducir mediante el generador lógico de onda cuadrada.

1.6 Desarrollo de la práctica y trabajo personal

Montado el circuito se completa el diagrama


de tiempos de la figura 11-3. Un análisis
detallado del mismo permitirá comprobar
el funcionamiento de la década.

FIGURA 11.3. Diagrama de tiempos de la década contadora.

A la vista del diagrama anterior, se puede comprobar que la salida QD (S3) es la única que genera un pulso completo por
cada 10 pulsos de reloj en CL (E10). Es decir, la frecuencia en la salida QD es la décima parte de la frecuencia de entrada
(se divide la frecuencia entre 10).

Esta salida QD puede utilizarse como entrada de reloj para una segunda década que contaría decenas. La salida QD de esta
puede ir a parar a la entrada de reloj de una tercera década que contaría centenas y así sucesivamente.
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 3: CIRCUITOS SECUENCIALES 3/12 – 1

PRACTICA 12: El contador JOHNSON

12.1 Objetivos

Presentar el funcionamiento de este peculiar modelo de contador decimal.

12.2 Fundamentos teóricos básicos


Se trata de un contador decimal un tanto particular. La salida que ofrece no está forma de código binario
ni BCD. Dispone de 10 salidas que se van activando, de una en una, según el número de pulsos recibidos.
Así, si se reciben tres pulsos, se activa la tercera salida. Cuando se reciben los 10 pulsos, se activa la
primera de las salidas y el proceso de cuenta se repite nuevamente.

El dispositivo CMOS modelo 4017 integra un contador Johnson de estas características. Su diagrama de
pines se muestra en la figura 12-1 junto con una tabla de descripción de los mismos.

Pin Nº NOMBRE DESCRIPCION


3, 2, 4, 7, 10 Q0,Q1,...Q9 Salidas. Se activan secuencialmente según
1, 5, 6, 9, 11 el número de pulsos recibidos
12 /Q 5-9 Salida. Se pone a “0” con la llegada del 5º pulso
de reloj y sube a “1” con la llegada del 10º
13 /Cp1 Entrada de pulsos a contar sensible al flanco
descendente
14 Cp0 Entrada de pulsos a contar sensible al flanco
ascendente
15 MR Reset del contador. Se activa la salida Q0 cada
vez que esta entrada se pone a “1”
8, 16 GND, VCC Entradas de alimentación

FIGURA 12.1. Cápsula del 4017.

12.3 Esquema electrónico

La figura 12-2 muestra el


esquema de montaje que
permitirá analizar el
funcionamiento de este
particular modelo de
contador.
Ingeniería de Microsistemas Programados S.L.

FIGURA 12.2. El contador Johnson.


PRÁCTICA ELECTRÓNICA DIGITAL
3/12 – 2 TEMA 3: CIRCUITOS SECUENCIALES

PRACTICA 12: El contador JOHNSON

12.4 Materiales necesarios • Entrenador “UNIVERSAL TRAINER”

• U1 C. Integrado SN7414 (6 inversores trigger)

• U2 C. Integrado 4017 (contador Johnson)

• C1 Condensador electrolítico de 1µF

• R1 Resistencia de 330Ω

• Cables de conexión

12.5 Montaje práctico

El contador recibe pulsos procedentes del pulsador E10 con su correspondiente circuito anti rebotes. Las salidas de contaje
son 10, desde Q0 hasta Q9. Sin embargo, en el circuito mostrado en la figura 12-2 sólo se han empleado las ocho salidas
de menos peso Q0-Q7 que se representan sobre los leds S0-S7. Dichas salidas reflejan la cuenta de los ocho primeros pulsos
de entrada. Cuando llega el 9º pulso a contar se activa la salida Q8 que va a parar a la entrada RST. El contador entonces
se borra, se activa la salida Q0 (S0) y el ciclo se repite.

12.6 Desarrollo de la práctica y trabajo personal

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
Completar el diagrama de tiempos de la figura 12-3. Con él debe quedar completamente claro el funcionamiento del
contador.

FIGURA 12.3. Funcionamiento del contador Johnson.

La entrada de pulsos se puede conectar al generador de funciones del entrenador en lugar de al pulsador E10 y su
circuitería asociada. Según la frecuencia elegida se puede apreciar un vistoso juego de luces en las que estas se van
desplazando de derecha a izquierda.

A la vista de los resultados se puede intuir las múltiples aplicaciones de este circuito como divisor de frecuencias.
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 3: CIRCUITOS SECUENCIALES 3/13 – 1

PRACTICA 13: Entretenimiento: contador con visualización

13.1 Objetivos 13.2 Fundamentos teóricos básicos


Visualizar el resultado de la cuenta sobre
un display de 7 segmentos. Empleando algunos de los dispositivos estudiados hasta el
momento, se uede conseguir visualizar sobre undisplay de
7 segmentos el número de pulsos que se plican a un circuito
contador.

13.3 Esquema electrónico

La figura 13-1 muestra el esquema de un contador BCD con visualización mediante display de 7 segmentos.

FIGURA 13.1. Contador BCD con visualización.

• Entrenador “UNIVERSAL TRAINER”

• U1 C. Integrado SN7414 (6 inversores trigger)

• U2 C. Integrado SN7490 (década BCD)


13.4 Materiales necesarios
• U3 C. Integrado SN7447 (decodificador BCD a 7 segmentos)

• C1 Condensador electrolítico de 1 µF

• R1 Resistencia de 330Ω

• Cables de conexión
Ingeniería de Microsistemas Programados S.L.

13.5 Montaje práctico

Se muestra en la fotografía de la figura 13-2. Se insiste una vez más de la necesidad de alimentar
correctamente a todos los circuitos integrados empleados en el montaje. Consultar en prácticas anteriores
para conocer las patillas de alimentación de cada circuito.
PRÁCTICA ELECTRÓNICA DIGITAL
3/13 – 2 TEMA 3: CIRCUITOS SECUENCIALES

PRACTICA 13: Entretenimiento: contador con visualización

FIGURA 13.2. Montaje práctico del contador.

13.6 Desarrollo de la práctica y trabajo personal

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
La entrada de pulsos procedente de E10 o del generador lógico del entrenador va a parar a la década contadora SN7490.
Esta realiza una cuenta en BCD que se obtiene por las salidas QA, QB, QC y QD. Estas salidas van a su vez a las entradas
del decodificador BCD a 7 segmentos modelo SN7447.

El decodificador recibe el código BCD procedente de la década y genera a sus salidas las señales necesarias para activar
los segmentos encargados de visualizar el número de pulsos aplicados.

Las entradas E0 y E1 permite hacer la puesta a 0 o a 9 respectivamente, de la década. En situación de reposo estas entradas
deben estar a nivel “0”, de lo contrario la década está permanente forzada a uno de esos dos valores, con lo que la entrada
de impulsos a contar queda inhibida.

Una vez montado el circuito comprobar su correcto funcionamiento.

Se puede conectar la entrada de pulsos con la salida del generador lógico. Para ello hay que desconectar el pulsador E10
y el circuito anti rebotes asociado. Empezando con una baja frecuencia del generador, ir aumentándola paulatinamente.
Explicar a qué se debe lo que visualiza el display.

ANOTACIONES PERSONALES
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 3: CIRCUITOS SECUENCIALES 3/14 – 1

PRACTICA 14: Entretenimiento: “quiniela electrónica”

14.1 Objetivos

Realizar un circuito electrónico que emula a este popular juego de azar

14.2 Fundamentos teóricos básicos

Una de las formas de generar números aleatorios es emplear contadores que cuenten un número determinado de pulsos
externos a una muy rápida frecuencia. Precisamente esta rápida velocidad es la que hace muy difícil predecir el número
de pulsos aplicados.

14.3 Esquema electrónico Se presenta en la figura 14-1.

FIGURA 14.1. La quiniela electrónica

14.4 Materiales necesarios • Entrenador “UNIVERSAL TRAINER”


Ingeniería de Microsistemas Programados S.L.

• U1 C. Integrado 4017

• Cables de conexión

14.5 Montaje práctico

Se trata de un circuito muy simple cuyo montaje se muestra en la fotografía de la figura 14-2. Se emplea un único
integrado, el 4017 (U1) cuya alimentación se aplica entre las patillas 8 y 16 (GND y +5VDC respectivamente.
PRÁCTICA ELECTRÓNICA DIGITAL
3/14 – 2 TEMA 3: CIRCUITOS SECUENCIALES

PRACTICA 14: Entretenimiento: “quiniela electrónica”

FIGURA 14.2. Montaje de la quiniela electrónica.

14.6 Desarrollo de la práctica y trabajo personal

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
Básicamente el circuito consiste en el ya conocido contador Johnson. Se emplean las tres salidas de menos peso (Q0-Q2)
que, se conectan a sendos diodos leds, para simular uno de los tres posibles resultados de una quiniela: S7(1), S6 (X) y S5
(2).

Como ya es sabido, el contador Johnson tiene la particularidad de activar una única de sus 10 salidas (Q0-Q9) en función
del número de pulsos que se le aplica. Cuando llega el décimo pulso, se activa la primera salida (Q0) y el ciclo se repite
nuevamente. El dispositivo integrado 4017 que aquí se emplea, es un contador Johnson decimal.

En la práctica propuesta sólo se emplean las salidas Q0, Q1 y Q2 (S7, S6 y S5 respectivamente). Cada una de ellas se va
activando secuencialmente al ritmo de los pulsos de reloj de entrada. Cuando llega el cuarto de estos pulsos se activa la
salida Q3 que provoca un auto borrado del contador al activarse la entrada RST. Se vuelve por tanto a activar la salida
Q0 y el ciclo se repite.

El pulsador E0 hace las veces de señal de parada. Efectivamente, cuando está en reposo (sin accionar) introduce nivel “0”
por la patilla ENA. El contador está habilitado y la cuenta se mantiene constantemente. Al accionarlo, se inhabilita y la
cuenta se detiene mostrándose en los leds de salida el valor actual.

La entrada de reloj la proporciona el generador lógico del entrenador. Según la frecuencia que se seleccione, la salida será
más o menos impredecible. Se recomienda empezar con una baja frecuencia para ir aumentándola paulatinamente.

ANOTACIONES PERSONALES
ELECTRÓNICA DIGITAL PRÁCTICA
TEMA 3: CIRCUITOS SECUENCIALES 3/15 – 1

PRACTICA 15: Entretenimiento: “el dado electrónico”

15.1 Objetivos 15.2 Fundamentos teóricos básicos

Realizar un circuito electrónico que emula Una de las formas de generar números aleatorios es emplear
el funcionamiento de este popular juego contadores que cuentes un número determinado de pulsos externos
de azar y de paso estudiar una de las a una muy elevada frecuencia. Precisamente es esta velocidad la
múltiples aplicaciones de los contadores que hace muy difícil predecir el número de pulsos aplicados. En
digitales. esta ocasión es necesario, además, que el contador cuente dentro
del rango comprendido entre 1 y 6, según las caras de un dado
clásico.

15.3 Esquema electrónico

Es el que se muestra en la figura 15-1.

FIGURA 15.1. Esquema del “dado electrónico”.

15.4 Materiales necesarios • Entrenador “UNIVERSAL TRAINER”

• U1 C. Integrado SN7400 (4 puertas NAND)

• U2 C. Integrado SN74LS169 (contador con pre carga)


Ingeniería de Microsistemas Programados S.L.

• U3 C. Integrado SN7447 (Decodificador BCD a 7 segmentos

• Cables de conexión

15.5 Montaje práctico

Se muestra en la fotografía de la figura 15-2. Se emplean 3 circuitos integrados diferentes que deben ser alimentados
correctamente. U1 recibe tensión de +5VDC por la patilla 14 y GND por la pata 7. U2 y U3 se alimentan de +5VDC por
las patillas 16 y GND por las patillas 8.
PRÁCTICA ELECTRÓNICA DIGITAL
3/15 – 2 TEMA 3: CIRCUITOS SECUENCIALES

PRACTICA 15: Entretenimiento: “el dado electrónico”

FIGURA 15.2. Montaje del “dado electrónico”.

15.6 Desarrollo de la práctica y trabajo personal

General Concha, 39 - 8º Dcha. 48012 Bilbao • Tel./Fax: 94 422 32 63 • e-mail: msyseng@arrakis.es • Internet: www.arrakis.es/~msyseng
El “corazón” del circuito lo forma el contador con pre carga SN74LS169 (U2) ya estudiado anteriormente y
configurado en modo ascendente. Los pulsos de reloj se reciben desde el generador lógico del entrenador a través
de la puerta NAND U1A. Cuando el pulsador E10 está a “0” (en reposo), el contador esta detenido pues no le
entran pulsos a contar. Cuando se acciona E10 (nivel “1”) la cuenta se reanuda a una velocidad determinada
por la frecuencia del generador. Cuanto más elevada más impredecible será dicha cuenta.

Las entradas de pre carga A, B, C y D están conectadas de tal forma que, cada vez que se genera la señal de
carga LOAD, el contador adquiere el valor binario del 9 (1001). Como sólo se emplean las 3 salidas de menos
peso del contador (QC, QB y QA) el valor de salida que se aplica al decodificador SN7447 (U3) será 001. Se
visualiza por tanto el dígito 1.

La señal LOAD se produce, gracias a la puerta NAND U1B, cuando las salidas QC, QB y QA valgan 110 (6) y haya
un nuevo pulso de reloj.

En otras palabras, el contador sólo evoluciona contando en binario desde 1001 hasta 1110, pero como solo se
emplean sus tres salidas de menos peso QC, QB y QA, estas evolucionan desde 001 (1) hasta 110 (6), las caras
de un dado.

Finalmente, el decodificador BCD a 7 segmentos recibe en su entrada las salidas del contador. La entrada 8
correspondiente a QD está permanentemente a “0”. El display visualiza por tanto cualquier número comprendido
entre 1 y 6.

ANOTACIONES PERSONALES

También podría gustarte