Está en la página 1de 9

Circuitos Digitales 1

CODIFICADORES/DECODIFICADORES Y
CONTADORES
UNIVERSIDAD DE LAS FUERZAS ARMADAS “ESPE”
Departamento de eléctrica y electrónica
LABORATORIO N: 9
Kevin Vaca, Nayro Pazmiño
Nrc:8715
Fecha:04/08/2020

transferencia de datos digitales y se usan normalmente en
Resumen – Se diseñará un contador asincrónico unidades llamadas “registros”, para el almacenamiento de
ascendente de 4 bits módulo X (MOD-X). El valor del datos numéricos binarios. [1]
módulo del contador se ingresará al pulsar una tecla (1-F)
que proporcione a su salida 4 bits, luego se procede a la
simulación en proteus y el simulador digital v097.

Índice de Términos – módulo, simulador, diseño.

I. INTRODUCCION

L os circuitos El procesador después de acceder a memoria


principal para copiar la instrucción en el registro de
Figure 1 Tipos Flip Flops.
instrucción, inicia la secuencia de acciones propias de cada
instrucción. Muchas de estas instrucciones consisten en la
Flip-Flop J-K (Jump-Keep)
transformación de datos mediante la realización de
operaciones lógicas o aritméticas. Para realizar estas
operaciones existe un bloque especial de la CPU denominado El flip-flop J-K es una mezcla entre el flip-flop S-R y el
Unidad Aritmético Lógica (ALU). flip-flop T.

A diferencia del flip flop RS, en el caso de activarse ambas


entradas a la vez, la salida adquiere el estado contrario al que
II. OBJETIVOS tenía.

 Realizar el diagrama de bloques del contador.


 Diseñar el codificador del teclado (1-F)
 Diseñar el Flip Flop D que servirá como memoria.
 Diseñar los respectivos Contador con FF JK
 Diseñar el Reset y Set de los Contadores.
 Diseñar los Cloks
 Simular el circuito lógico del problema propuesto, en
Proteus y el Simulador digital.

Figure 2 FF JK
La siguiente tabla muestra el comportamiento del flip flop
III. MARCO TEÓRICO JK
Flip Flops: El flip flop es el nombre común que se le da a los
dispositivos de dos estados (biestables), que sirven como
memoria básica para las operaciones de lógica secuencial. Los
Flip-flops son ampliamente usados para el almacenamiento y


Circuitos Digitales 2

Este circuito integrado se utiliza para activar o desactivar


circuitos durante intervalos de tiempo determinados, es decir
se usa como temporizador. Para ello, lo combinaremos con
otros componentes cuyas características y forma de conexión
en el circuito, determinarán la duración de los intervalos de
tiempo del 555, y si estos intervalos se repitan continuamente
o no.
Table 1 FF JK
Temporizador monoestable
Flip-Flop D (Delay)
Este tipo de temporizador es el más sencillo, ya que con un
El flip-flop D es uno de los FF más sencillos. Su función es pulso se activa la señal de salida y después del tiempo
dejar pasar lo que entra por D, a la salida Q, después de un programado se desactiva. Una vez que termina el ciclo se
pulso del reloj. puede volver a activar, pero es necesario volver a aplicar otro
pulso, este circuito se puede volver a iniciar cuantas veces sea
necesario. [1]

Esta configuración es fácil de identificar, ya que el circuito


solo lleva una resistencia, un capacitor y las terminales 6 y 7
se conectan juntas. El tiempo en que la señal se queda activada
depende totalmente de R1 y C1.

Figure 3 FF D

La siguiente tabla muestra el comportamiento del flip flop


D

Table 2 FF D

CLEAR Y RESET

Cuando se están utilizando flip-flops en la construcción de Figure 4 Monoestable


circuitos, es necesario poder controlar el momento en el que
un FF empieza a funcionar y el valor con el que inicia su La fórmula para calcular el tiempo de duración (tiempo que la
salida esta en nivel alto) es:
secuencia. Para esto, los flip-flops cuentan con dos entradas
que le permiten al diseñador seleccionar los valores iniciales
T = 1.1 x R1 x C1 (en segundos)
del FF y el momento en el que empieza a funcionar.
Temporizador Astable
Estas entradas son llamadas en Inglés: Clear y Preset.
En esta modalidad se forma una señal de salida de onda
Clear – inicializa Q en cero sin importar entradas o reloj
cuadrada, ya que los estados de alto y bajo se repiten una y
Preset – inicializa Q en 1 sin importar entradas o reloj
otra vez. A simple vista podemos identificarlo por que tiene 2
Para ambas entradas, si reciben el valor de:
resistencias y un capacitor en serie, y por que las terminales 2
y 6 van juntas. Cabe mencionar que los tiempos de los estados
0 : inicializan el FF en el valor correspondiente.
bajo y alto dependen totalmente de los valores de las
1: el flip-flop opera normalmente
resistencias R1, R2 y del capacitor C1, estos valores los
podemos elegir resolviendo una serie de formulas en donde T1
CIRCUITO INTEGRADO 555
es el tiempo de activación y T2 para desactivarlo.
Circuitos Digitales 3

Los tiempos de duración dependen de los valores de las


resistencias: R1 y R2 y del condensador C1.

T1 = 0.693(R1+R2)C1

T2 = 0.693 x R2 x C1 (en segundos)

Figure 5 Astable

IV. PROCEDIMIENTO
Diseñe un contador asincrónico ascendente de 4 bits módulo X
(MOD-X). El valor del
módulo del contador se ingresará al pulsar una tecla (1-F) a
través de un codificador
que proporcione a su salida 4 bits. La salida del codificador
será almacenada en un
arreglo de flip-flops (FF) tipo D. El valor guardado en los FFs
determinará en que
momento el contador deberá resetearse y empezar la cuenta
desde cero. El contador
estará basado en FFs JK y tendrá dos posibles frecuencias de
operación seleccionables:
1Hz y 2Hz. El contador se visualizará en el sistema decimal Figure 7 Codificador 16-4
mediante dos displays de 7
segmentos.
A0 B0 F
0 0 0
1. Realice el diseño (diagrama de bloques y diagrama
de flujo) planteado en el 0 1 1
enunciado. 1 0 1
1 1 0
Table 3 Tabla Encoder
Para el Codificador se utilizó el diseño en cascada de dos
Encoder con Prioridad (74148), las salidas obedecen a la
función de la Tabla 1 (F=A0ꚛB0) de las tres cifras menos
significativas.

Figure 6Diagrama Bloques

DISEÑO
CODIFICADOR
Circuitos Digitales 4

FLIP-FLOP D

Figure 8 Flip Flop tipo D


Las salidas del Encoder seran las Entradas de nuestros FF las
cuales serán seteadas a la salida Q por medio de la entrada
CLK con una entrada en bajo.
Circuitos Digitales 5

CONTADOR FF JK

Figure 9 Diseño Contador 4 bits


El contador General será el encargado de verificar que el
modulo ingresado se cumpla por medio del circuito reset, fue
diseñado con 4 FF JK en cascada para que sea capaz de contar
los 4 bits
CONTADOR FF JK DECIMAL

Figure 12 Diseño Reset


Nuestro reset tiene dos salidas RT(reinicio general) y
R(Reinicio Unidades). El reinicio General necesita comparara
si el contador ya llego a ser igual al Modulo ingresado para
esto utilizamos la tabla 2
C M F
0 0 x
0 1 1
1 0 1
Figure 10 Diseño contador Decimal 1 1 0
Al igual que el contador General este fue diseñado en cascada
Table 4 Función de Comparacion RT
Implementando un FF JK adicional que cuenta las Unidades
Siendo evidente que utilizaremos una compuerta XOR para
que será activando al momento que se reseteen los 4 primeros
cada digito una vez comparada los dígitos sumamos las
FF al llegar al 10(1010), las salidas de este contador son las
respuestas con una compuerta OR para tener una sola salida en
desplegadas en los displays de 7 segmentos. Ya que este
bajo que reseteara Las decenas del Contador Decimal y el
contador es reseteado al llegar a las unidades máxima 9 es
Contador General.
imposible hacer la comparación con el modulo ingresado al
El reset del contador de unidades Adicionalmente deberá
inicio con números pasados del 10(1010), este es el motivo
resetear adicionalmente si se ha cumplido la condición de
que se implementos dos contadores por separado, lo que
haber llegado al 10(1010) que será dados por una compuerta
comparten ambos contadores es el reset.
XOR, para determinar esta función utilizamos la tabla 3
SET
Dec F R
0 0 0
0 1 0
1 0 0
1 1 1
Table 5 Funcion R
Como podemos observar la función utiliza una compuerta
AND, dándonos asi la salida para el contador de unidades.
CLOCK
Figure 11 Diseño Set
Para el Set se realizó un un Pull Up con un Botón, este set
sirve para añadir el modulo a la memoria FF-D e inicializar los
Contadores.
RESET
Circuitos Digitales 6

Figure 13 Diseño Clock


Se utilizó un timer 555 conectado de forma Astable donde se
varió el capacitor para dar ambas frecuencias f1=1Hz=1s y
Figure 15 Displays 7 segmentos
f=2Hz=0.5s.
Los displays fueron implementados con decodificares
BCD(7447) que a la salida tiene displays de 7 segmentos
Se empleó dos resistencias de 4K para mayor facilidad y
Ando Comun colo Rojo, las entradas son tomadas del
despejando de la Ecuacion se obtuvo el valor ambos
Contador Decimal.
capacitores

Los cuales fueron conectados a través de un switch que nos


permite alternar entre ambos como se indica en la Figura 9.

Figure 14 Switch de Frecuencia


DISPLAYS
Circuitos Digitales 7

2. Implementar y simular el circuito en


(proteus).

Figure 18 Simulacion 3 caso modulo(6) F=2Hz

Figure 16 Simulacion 1 caso modulo(7) F=1Hz

Figure 17 Simulacion 2 caso modulo(14) F=2Hz


Circuitos Digitales 8

VII SIMULADOR DIGITAL

Figure 19 06 1HZ

Figure 20 04 2HZ
Circuitos Digitales 9

Figure 21 13 2HZ

VIII. CONCLUSIONES Y RECOMENDACIONES


Recomendaciones

 Implementar un circuito combinacional o un contador IX REFENCIAS


paralelo para contabilizar el digito mayor, ya que al
momento de pasar a displays el contador de las [1] Morgan, A (2019 Junio). Logic bus .[ Fecha de acceso: 04 de Ago del
2020]. Available:
unidades tiene que resetearse https://www.logicbus.com.mx/blog/compuertas-logicas/)
.
 Para las entradas utilizar pulsador y no dipsiwtch ya [2] (Ruz, 2019) Ruz, J., Curso “Estructura de computadores”, Universidad
que no se nota el trabajo del FF D al momento de Complutense de Madrid,2019, accedida 4 Ago 2020, online available:
http://www.fdi.ucm.es/profesor/jjruz/EC-IS/
guardar la variable de modulo.
[3] Tocci, R., Widmer, N., & Moss, G., (2007). .[ Fecha de acceso: 27 de
Conclusiones Julio del 2020]. Sistemas Digitales: principios y aplicaciones. México
D.F, México: Pearson Education.
 En el cambio Modulo siempre hay un desfase de
unidad, esto se debe a que este contador no es
síncrono y el reset se sobrepone a todas las salidas,
pero no al clock.
 Al diseñar el Set y Reset lo podemos ampliar tanto
para el Contador como la para la memoria, de esta
manera se puede visualizar la respuesta al instante y
no al terminar la vuelta del contador.
 Al realizar el circuito en el simulador digital,
obtenemos que los resultados del simulador digital
son los mismo de la simulación en Proteus así se
puede concluir que la práctica se realizo
correctamente.

También podría gustarte