Está en la página 1de 2

El siguiente proyecto es un comparador de magnitud de dos números de 4 bits.

Como entradas
se tendrán dos números binarios A (A3,A2,A1,A0), y B (B3,B2,B1,B0). Como salida se tendrán
tres leds indicadores, uno para cuando B es igual a A, otro para cuando B es menor a A, y otro
para cuando B es mayor a A. Los componentes usados serán compuertas, dip switch, y leds.
Para el diseño se usará la técnica der comparación de bit a bit, las sentencias lógicas son las
siguientes:
- Si (B3 es igual a A3) y (B2 es igual a A2) y (B1 es igual a A1) y (B0 es igual a A0), entonces B
es igual a A.
- Si (B3 es mayor a A3) o si [(B3 es igual a A3) y (B2 es mayor a A2)] o si [(B3 es igual a A3) y
(B2 es igual a A2) y (B1 es mayor a A1)] o si [(B3 es igual a A3) y (B2 es igual a A2) y (B1 es
igual a A1) y (B0 es mayor a A0)], entonces B es mayor a A.
- Si (B no es igual a A) y si (B no es mayor a A), entonces B es menor a A.
Estas sentencias lógicas se corresponden con las siguientes funciones lógicas:
Donde X indica cuando B es igual a A, Y indica cuando B es mayor que A, y Z indica cuando B
es menor que A.

Descarga la simulación en proteus 7.9 de comparador de dos números de 4 bits aquí.


Descarga la simulación en proteus 8.3 de comparador de dos números de 4 bits aquí.

El circuito es el siguiente

Extra. En vez de usar compuertas lógicas para realizar el comparador de 4 bits se puede usar
directamente el circuito integrado 74xx85 que es de tecnología TTL, y que se alimenta con una
fuente de 5 voltios. El circuito de conexión se muestra a continuación.
A tiene un valor de 11 (1011), y B tiene una valor de 11 (1011). El resultado de la comparación
es que ambos números son iguales (A=B).
https://wilaebaelectronica.blogspot.com/2017/08/comparador-de-dos-numeros-de-4-
bits.html

También podría gustarte