Documentos de Académico
Documentos de Profesional
Documentos de Cultura
Captulo 15
CP
R
D
CP
clk
CP
Reset
D
CP
S
R
Q
_
Q
Q
_
Q
Q
_
Q
Q
_
Q
Set
19-01-2010
Sistemas Digitales
La seal de control Write Enable habilita el registro para escritura. Con el canto de subida del
reloj, estando WE = 1, se escribe (o carga) el registro con los datos de entrada. Cuando WE = 0,
el registro conserva su valor (queda en modo hold). Un diseo comercial similar al que se
muestra, es el 74LS173, que adems tiene salida de tercer estado. La celda bsica del registro
resulta ms simple si el flip-flop es JK, ya que naturalmente ste permanece en modo hold con
J=0 y K = 0.
Puede anotarse la transferencia como:
WE: Q = D;
tambin suele usarse:
WE: D
Q o bien: WE: Q
D.
Q3
D Q
_
CP Q
D2
Q2
Q
CP Q
D1
Q1
D
CP
Q
_
Q
D0
Q0
D Q
_
CP Q
WE
clk
19-01-2010
Estado 1
WE=1
Estado 2
WE=0
Habilitacin
Estado 1
Escritura
Estado 2
19-01-2010
Sistemas Digitales
Si el registro se hubiera formado con latchs transparentes, habran salidas nuevas durante el
estado 1. Es decir se puede comenzar a usar la nueva informacin un ciclo de reloj antes,
relativo al caso de registros disparados por cantos.
Un registro puede anotarse simblicamente como se muestra en la Figura 15.5:
A la derecha del esquema para el registro, se indica la descripcin de la transferencia.
Que se interpreta as: Cuando WE est alto y cuando llegue el canto del reloj, entonces capture
en el registro R, lo que est estable en A, tsetup antes del canto del reloj. Suele no indicarse el
reloj, en la ecuacin RTL (Register Transfer Level).
WE
R
WE: R = A
A
clk
Figura 15.5. Representacin simblica de registro con habilitacin de escritura.
WE
2
WE
3
R1
R2
R3
Red Combinacional.
Tc1
R. Comb.
Tc2
Tm2
Tm1
clk
clk
clk
19-01-2010
19-01-2010
Sistemas Digitales
T(clk2)
clk2
clk1
Tskew
Tf
1
Tc
1
Tsu2
Tskew
Tf
1
Tm1
19-01-2010
WE
3
R3
f1( )
1
A
f2( )
19-01-2010
Sistemas Digitales
19-01-2010
R
0
1
2
M1
0
1
2
M2
0
1
2
WE1
R
1
WE2
R
2
19-01-2010
10
Sistemas Digitales
19-01-2010
11
WE0
R
0
Bus
0
1
2
WE1
R
1
WE2
R
2
19-01-2010
12
Sistemas Digitales
19-01-2010
13
R
0
Bus C
0
1
2
Bus A
WE1
f(A,B)
R
1
WReg
B
0
WE2
Bus B
1
2
R
2
19-01-2010
14
Sistemas Digitales
Memoria
Esttica
D[m..0]
W
G
19-01-2010
15
19-01-2010
16
Sistemas Digitales
tc
direcciones
ta
Chip enable E
tae
tag
Output enable G
tf
tb
datos
validos
19-01-2010
17
Parmetro
Smbolo mn mx
Tiempo de ciclo de escritura
tAVAV
12
Tiempo de setup de la direccin
tAVWL
0
Direccin vlida hasta el fin de la escritura
tAVWH
10
Ancho de pulso de escritura
tWLWH
10
Ancho de pulso de escritura hasta enable
tWLEH
10
Datos vlidos hasta fin de escritura
tDVWH
6
Tiempo de mantenimiento de datos (hold)
tWHDX
0
De write bajo hasta alta impedancia
tWLQZ
0
6
De write alto hasta salida activa
tWHQX
4
Tiempo de recuperacin escritura
tWHAX
0
Figura 15.19. Parmetros de escritura.
Los datos son capturados en la celda de memoria cuando W tiene un canto de subida, en ese
momento los datos del bus deben estar estables. Adems el bus de direcciones debe estar estable
antes del canto de bajada de W (setup), y luego del canto de subida de W (hold). Los glitches
en el bus de direcciones pueden producir cambios de estado no deseados en la memoria.
tc
direcciones
tas
tah
Chip enable E
tw
Write enable W
th
ts
datos
validos
19-01-2010
18
Sistemas Digitales
add
direcciones
la
Memoria
reset
E
W
read
controlador
write
ld
doe
cd
datos
Din
dw
Dout
clk
Din
Memoria
Controlador
clk
Dout
clk
19-01-2010
19
Las seales de lgica negativa: E, G, W y doe, se identifican con: Ep, Gp, Wp y doep.
Las rdenes: reset, read y write se consideran pulsos de un ancho de pulso del reloj, y
sincronizadas por cantos de bajada. Todas las salidas del controlador sern seales
sincronizadas por cantos de subida, por lo cual las habilitaciones de escritura en los registros se
efectan con cantos de bajada del reloj.
Se emplean: la por load address, ld por load data, cd por captura datos.
Para cumplir con los requerimientos temporales de la memoria, basta que dos perodos del reloj
sean mayores que el tiempo de acceso. Esto puede comprobarse, en el esquema de las seales de
control de la Figura 15.23, ya que transcurren dos ciclos desde que se tiene una nueva direccin
hasta que se graba en el registro de lectura.
clk
read
la
Ep
aGp
Cd
E0 E4 E5 E6
E0
la
Ep
aWp
doep
E0 E1 E2 E3
E0
19-01-2010
20
Sistemas Digitales
E4
reset
read=0
E5
la=1
E6
cd=1
read=1
E0
write=0
write=1
E1
E2
E3
la=1
// Asignacin de estados
parameter IDLE = 0;
parameter write1 = 1;
parameter write2 = 2;
parameter write3 = 3;
parameter read1 = 4;
parameter read2 = 5;
parameter read3 = 6;
19-01-2010
21
19-01-2010
22
Sistemas Digitales
next = read3;
end
read3:
begin
Ep= 0; Wp= 1; Gp= 0; doep=1; la= 0; ld= 0; cd= 1;
next = IDLE;
end
default:
begin
Ep= 1; Wp= 1; Gp= 1; doep=1; la= 0; ld= 0; cd= 0;
next = IDLE;
end
endcase
end //always
endmodule
El siguiente mdulo efecta una simulacin del controlador.
`timescale 1ns / 1ps
module test_v;
// Inputs
reg clk;
reg reset;
reg read;
reg write;
reg [7:0] Din;
reg [12:0] add;
// Outputs
wire [7:0] Dout;
wire [12:0] direcciones;
wire Ep;
wire Wp;
wire Gp;
wire doep;
wire la;
wire ld;
wire cd;
// Bidirs
wire [7:0] datos;
// Instantiate the Unit Under Test (UUT)
MSE uut (
.clk(clk),
.reset(reset),
.read(read),
Profesor Leopoldo Silva Bijit
19-01-2010
23
.write(write),
.Din(Din),
.Dout(Dout),
.add(add),
.direcciones(direcciones),
.Ep(Ep),
.Wp(Wp),
.Gp(Gp),
.doep(doep),
.la(la),
.ld(ld),
.cd(cd),
.datos(datos)
);
initial begin
// Initialize Inputs
clk = 0; reset = 0; read = 0; write = 0; Din = 0; add = 0;
// Wait 100 ns for global reset to finish
#100;
// Add stimulus here
reset=1; clk=0; #100; clk=1; #100;
reset=0; clk=0; #100; clk=1; #100;
clk=0; #100; clk=1; #100;
add=13'b0000000000001;
read=1; clk=0; #100; clk=1; #100;
read=0; clk=0; #100; clk=1; #100;
clk=0; #100; clk=1; #100;
clk=0; #100; clk=1; #100;
clk=0; #100; clk=1; #100;
clk=0; #100; clk=1; #100;
clk=0; #100; clk=1; #100;
add=13'b0000000000111;
Din=8'b00001111;
write=1; clk=0; #100; clk=1; #100;
write=0; clk=0; #100; clk=1; #100;
clk=0; #100; clk=1; #100;
clk=0; #100; clk=1; #100;
clk=0; #100; clk=1; #100;
clk=0; #100; clk=1; #100;
clk=0; #100; clk=1; #100;
end
endmodule
19-01-2010
24
Sistemas Digitales
19-01-2010
25
ndice general.
CAPTULO 15 ........................................................................................................................................... 1
REGISTROS. BUSES. MEMORIAS. ...................................................................................................... 1
15.1. REGISTROS. ..................................................................................................................................... 1
15.2. REGISTRO CON HABILITACIN DE ESCRITURA. ................................................................................. 1
15.3 FUNCIONAMIENTO SINCRNICO. TRANSFERENCIAS ENTRE REGISTROS. ........................................... 4
15.3.1. Requerimientos de tiempo. ...................................................................................................... 5
15.3.2. Operaciones posibles. ............................................................................................................. 7
15.3.3. Operaciones combinacionales alternativas. ............................................................................ 7
15.4. CAMINO DE DATOS. ......................................................................................................................... 8
15.4.1. Conexiones punto a punto. ...................................................................................................... 8
15.4.2. Bus simple. ............................................................................................................................ 10
15.4.3. Estructura multibus. .............................................................................................................. 12
15.5. MEMORIA RAM ESTTICA. ........................................................................................................... 14
15.5.1. Ciclo de lectura. .................................................................................................................... 14
15.5.2. Ciclo de escritura. ................................................................................................................. 16
15.5.3. Control de memoria ram esttica. ......................................................................................... 17
15.3.4. Memorias flash o EEPROM. ................................................................................................. 24
15.3.5. Memorias sincrnicas. .......................................................................................................... 24
NDICE GENERAL. ................................................................................................................................... 25
NDICE DE FIGURAS................................................................................................................................. 26
19-01-2010
26
Sistemas Digitales
ndice de figuras
Figura 15.1. Registro de cuatro bits.............................................................................................. 1
Figura 15.2. Registro con habilitacin de escritura. ..................................................................... 2
Figura 15.3. Estados que generan la seal de control WE............................................................ 3
Figura 15.4. Control del registro con WE. ................................................................................... 3
Figura 15.5. Representacin simblica de registro con habilitacin de escritura......................... 4
Figura 15.6. Transferencia entre registros. ................................................................................... 4
Figura 15.7. Corrimientos del reloj (skew)................................................................................... 5
Figura 15.8. Requerimientos de setup del registro 2. ................................................................... 6
Figura 15.9. Requerimientos de hold para el registro 2. ............................................................... 6
Figura 15.10. Funciones combinacionales alternativas. ............................................................... 7
Figura 15.11. Conexiones punto a punto entre 3 registros. .......................................................... 9
Figura 15.12. Bus simple. ........................................................................................................... 11
Figura 15.13. Camino de datos con tres buses. .......................................................................... 13
Figura 15.14. Control asincrnico de memoria esttica. ............................................................ 14
Figura 15.15. Ciclo de lectura. ................................................................................................... 15
Figura 15.16. Parmetros de lectura. .......................................................................................... 15
Figura 15.17. Requerimientos temporales lectura. ...................................................................... 16
Figura 15.18. Ciclo de escritura.................................................................................................. 16
Figura 15.19. Parmetros de escritura. ....................................................................................... 17
Figura 15.20. Requerimientos temporales escritura. ................................................................... 17
Figura 15.21. Entorno de diseo.................................................................................................. 18
Figura 15.22. Entorno de diseo.................................................................................................. 18
Figura 15.23. Secuencia de lectura. ............................................................................................. 19
Figura 15.24. Secuencia de escritura. .......................................................................................... 19
Figura 15.25. Diagrama de estados del controlador. ................................................................... 20
Figura 15.26. Formas de ondas generadas por la simulacin. ..................................................... 24
19-01-2010