Está en la página 1de 3

INSTITUTO TECNOLOGICO DE MATAMOROS

ING. ELECTRONICA

NOMBRE DE LA MATERIA
Diseo Digital con VHDL
Unida 2
Titulo de trabajo
Reloj digital

H. Matamoros. Tamaulipas

13 De Noviembre Del 2014

Marco Terico:
Se denomina reloj digital al que indica la hora mediante nmeros digitales, por
oposicin al reloj analgico que lo hace mediante manecillas. El funcionamiento de
los relojes digitales en electrnico normalmente, si bien existen emuladores
informticos que pueden presentar en la pantalla de una computadora tanto
relojes analgicos como digitales.

Desarrollo:
1.- Para la realizacin de esta prctica, tome como referencia el cronmetro
implementado en la actividad 1106.
2.- El reloj mostrara los minutos en los 2 displays a 7 segmentos de la derecha del
basys mientras que la hora sern mostradas en los 2 dgitos restantes.

Observacin y conclusiones:
library IEEE;
use IEEE.NUMERIC_STD.ALL;
use IEEE.STD_LOGIC_1164.ALL;
entity contador_reloj is
PORT (
clk : IN STD_LOGIC; --Reloj
reset: IN STD_LOGIC; --Seal
H1
: OUT STD_LOGIC_VECTOR(2
H0
: OUT STD_LOGIC_VECTOR(3
M1
: OUT STD_LOGIC_VECTOR(2
M0
: OUT STD_LOGIC_VECTOR(3
);
end contador_reloj;

de 1Hz.
de reset.
DOWNTO 0);
DOWNTO 0);
DOWNTO 0);
DOWNTO 0)

architecture Behavioral of contador_reloj is


signal mm1: UNSIGNED(2 downto 0) := "000" ;
signal mm0: UNSIGNED(3 downto 0) := "0000";
signal hh1: UNSIGNED(2 downto 0) := "000" ;
signal hh0: UNSIGNED(3 downto 0) := "0000";
begin
reloj: process (clk, reset) begin
if reset = '1' then
hh1 <= "000" ;
hh0 <= "0000";
mm1 <= "000" ;
mm0 <= "0000";
elsif rising_edge(clk) then
mm0 <= mm0 + 1;

if mm0 = 9 then
mm1 <= mm1 + 1;
mm0 <= "0000";
end if;
if mm1 =
hh0
mm1
end if;
if hh0 =
hh1
hh0
end if;

5 AND mm0 = 9 then


<= hh0 + 1;
<= "000";
9 then
<= hh1 + 1;
<= "0000";

if hh1 = 2 AND hh0 = 3 AND mm1 = 5 AND mm0 = 9 then


hh1 <= "000";
hh0 <= "0000";
end if;
end if;
end process;

H1 <= STD_LOGIC_VECTOR(hh1);
H0 <= STD_LOGIC_VECTOR(hh0);
M1 <= STD_LOGIC_VECTOR(mm1);
M0 <= STD_LOGIC_VECTOR(mm0);
end Behavioral;

En esta prctica creamos un programa que hace la funcin de un reloj digital con
displays en los que se mostraran los minutos y las horas, cuando el contador
cuente hasta 59 minutos se regresara a 0 y pondr sumara 1 en el contador de
horas hasta que llegue a 23:59 regresara a 00:00.

También podría gustarte