Está en la página 1de 135

SISTEMA CONVERSOR AC AC DE ALTA FRECUENCIA CON CORRECCION

DEL FACTOR DE POTENCIA

CHRISTIAN ALFONSO VILLADA LEN

UNIVERSIDAD AUTNOMA DE OCCIDENTE


FACULTAD DE INGENIERA
DEPARTAMENTO DE AUTOMTICA Y ELECTRNICA
PROGRAMA INGENIERA ELECTRNICA
SANTIAGO DE CALI
2009

SISTEMA CONVERSOR AC AC DE ALTA FRECUENCIA CON CORRECCIN


DEL FACTOR DE POTENCIA

CHRISTIAN ALFONSO VILLADA LEN

Trabajo de grado para optar al ttulo de Ingeniero Electrnico

Director
JOHNNY POSADA CONTRERAS
M.Sc. en Ingeniera

UNIVERSIDAD AUTNOMA DE OCCIDENTE


FACULTAD DE INGENIERA
DEPARTAMENTO DE AUTOMTICA Y ELECTRNICA
PROGRAMA INGENIERA ELECTRNICA
SANTIAGO DE CALI
2009

Nota de aceptacin:
Aprobado por el Comit de Grado en
cumplimiento de los requisitos
exigidos
por
la
Universidad
Autnoma de Occidente para optar al
ttulo de Ingeniero Electrnico

Ing. Yuri Ulianov


________________________________
Jurado

Ing. Juan Carlos Mena


________________________________
Jurado

Santiago de Cali, Noviembre 27 de 2009

Mi dedicatoria va dirigida a mi madre Margarita Len Valencia por su inmenso


apoyo, patrocinio y motivacin para sacar adelante mi carrera profesional y a mi
padre Carlos Alfonso Villada, un ser que a pesar de tantas adversidades y
momentos difciles, siempre tena una sonrisa en su rostro y que en todas partes
entre amigos o familiares, mencionaba a su hijo como su mayor orgullo as
como se senta orgulloso de m, yo tambin me siento muy orgulloso de l, por ser
ese padre que cualquiera deseara tener, comprensivo, recochero, consejero,
moderno y todo lo que un hijo quiere de su padre y aunque no fue ingeniero,
doctor o arquitecto, me siento muy feliz por haber salido adelante con mi mam y
habernos enseado a mi hermana Cindy Villada y a m, todo lo necesario para ir
siempre por el buen camino y ser personas de bien en esta sociedad tan
deteriorada.

Pap: No hay un solo da en el que no te recuerde, te extrao mucho, me haces


demasiada falta para tener una conversacin de padre a hijo y an ms, una
conversacin de amigos siempre estars en mi corazn nunca olvidar tu
cara siempre sonriente gracias por tantas alegras que me diste

AGRADECIMENTOS

A Johnny Posada Contreras, Ingeniero Electrnico, M.sc en ingeniera con


nfasis en automtica y director del trabajo de grado; por su disposicin y sus
valiosas orientaciones para logar satisfactoriamente el cumplimiento de los
objetivos.

A la Universidad Autnoma de Occidente, Por permitirme realizar este trabajo y


brindarme todas las herramientas necesarias para culminarlo con xito.

A familiares y amigos, por su apoyo y motivacin en los momentos precisos.

CONTENIDO
Pg.

RESUMEN

16

INTRODUCCIN

17

1.

ARMONICAS Y PFC

18

1.1

REDUCCION DE ARMONICAS.

18

1.1.1 Efectos asociados a la reduccin de armnicas.

18

1.2

18

METODOS PARA REDUCIR LAS ARMONICAS.

1.2.1 Circuitos pasivos.

19

1.2.2 Circuitos activos.

19

1.2.3 Cul es la mejor solucin?

19

1.3

20

CORRECION ACTIVA DEL FACTOR DE POTENCIA

1.3.1 Emulador de resistencia.

20

1.3.1.1 Propiedades del emulador de resistencia.

21

1.4

TOPOLOGIAS DE CONVERSORES DC/DC PARA

CORRECCION DE FACTOR DE POTENCIA.

23

1.4.1 Conversor reductor Buck.

23

1.4.2 Conversor elevador Boost.

24

1.4.3 Conversor reductor elevador Buck-Boost.

27

1.5

ELECCION DE LA TOPOLOGIA DC/DC BASE PARA EL

CONVERSOR AC/AC CON PFC.

29

1.6

ELECCION DEL MODO DE OPERACIN DEL CONVERSOR.

30

1.7

TECNICAS DE CONTROL PARA CONVERSORES DC/DC

CON PFC.

30

1.7.1 Control por multiplicador.

31

1.7.2 Control de un solo ciclo OCC.

33
6

1.7.3 Control por seguidor de tensin.

35

1.8

36

ELECCION DE LA TECNICA DE CONTROL

1.8.1 Consideraciones generales.

36

1.8.2 Comparacin de las tcnicas estudiadas.

37

1.8.3 Consideraciones finales.

40

2. CONTROLADORES DE AC

42

2.1

INTERRUPTORES BIDIRECCIONALES

42

2.2

ESTRUCTURA DE INTERRUPTORES BIDIRECCIONALES

42

2.3

FORMAS DE ONDA DE LOS ESTADOS ON Y OFF PARA

INTERRUPTORES BIDIRECCIONALES

44

2.3.1 ESTADO ON

44

2.3.2 ESTEDO OFF

46

2.4

48

ELECCIN DE LA ESTRUCTURA DE INTERRUPTOR.

3. ESTUDIO DE ALGUNAS TOPOLOGIAS AC/AC EXISTENTES.

49

3.1

49

TOPOLOGIA AC/AC BASADA EN CONVERSOR BUCK.

3.1.1 Principio de operacin.

49

3.1.2 Simulacin.

51

3.2

53

TOPOLOGIA AC/AC BASADA EN CONVERSOR BOOST.

3.2.1 Principio de operacin.

54

3.2.2 Simulacin.

54

4. DISEO DE CONVERSOR AC/AC BASADO EN


TOPOLOGIA BOOST.

57

4.1 DISEO DEL CIRCUITO RECTIFICADOR.

60

4.2 Anlisis paramtrico del conversor con carga no lineal.

62

4.3 MODELAMIENTO MATEMATICO DE CONVERSOR AC/AC


BASADO EN TOPOLOGIA BOOST.
4.3.1 Consideraciones del modelo.
4.3.2 Modelo matemtico.

67
67
67

5. ESTUDIO DE LAS TECNICAS DE CONTROL APLICADAS A


CONVERSORES AC/AC

5.1

71

APLICACIN DEL CONTROL POR MULTIPLICADOR

EN CONVERSOR AC/AC BASADO EN TOPOLOGIA BOOST.

71

5.1.1 Descripcin del circuito.

71

5.1.2 Regulador de corriente.

74

5.1.3 Regulador de voltaje.

75

5.2

APLICACIN DEL CONTROL DE UN CICLO APLICADO

EN CONVERSOR AC/AC BASADO EN TOPOLOGIA BOOST.

77

5.2.1 Descripcin del circuito.

77

5.2.2 Circuito de control.

78

5.2.3 Simulacin.

79

5.3

DISEO DE CONTROLADOR OCC PARA CONVERSOR

AC/AC PROPUESTO EN EL CAPITULO 3.3

81

5.3.1 Resultados de simulacin.

83

6. DISEO DE INTERFACE DE USUARIO EN EL SOFTWARE


CONTROLDESK.

88

6.1 SISTEMA DSPACE

88

6.1.1 Tarjeta de adquisicin de datos DS1104

88

6.2 SOFTWARE CONTROLDESK

89

6.3 DISEO DE INTERFACE.

90

7. CONCLUSIONES Y COMENTARIOS.

93

BIBLIOGRAFIA.

95

ANEXOS.

99

LISTA DE TABLAS

Pg.

Tabla 1. Resumen de los requerimientos de diseo de las dos


tcnicas de control.

37

Tabla 2. Nmero de componentes necesarios para cada tcnica


de control.

38

Tabla 3. Ventajas y desventajas de las diferentes configuraciones


de interruptores bidireccionales.

48

Tabla 4. Secuencia de conmutacin de interruptores.

50

Tabla 5. Factor de potencia del rectificador en funcin del consumo.

66

10

LISTA DE FIGURAS

Pg.

Figura 1. Diagrama de emulador resistivo.

20

Figura 2. Forma de onda de corriente y voltaje en un emulador


de resistencia.

21

Figura 3. (a) Convertidor CC CC reductor.

23

Figura 4. Formas de onda del convertidor reductor.

24

Figura 5. Convertidor elevador.

25

Figura 6. Formas de onda del convertidor elevador.

26

Figura 7. Convertidor reductor - elevador.

28

Figura 8. Formas de onda del convertidor reductor - elevador.

28

Figura 9. Diagrama del circuito del control por multiplicador.

32

Figura 10. Corriente de entrada del convertidor en MCC.

33

Figura 11. Esquema del control de un solo ciclo.

34

Figura 12. Esquema de control por seguidor de tensin.

36

Figura 13. Factor de potencia Vs Potencia/lnea con OCC.

39

Figura 14. Lmites para armnicos de la norma EN61000-3-2.

39

Figura 15. Componentes armnicas a 115 VAC de lnea.

40

Figura 16. Topologas de interruptores bidireccionales con diodos


Si y IGBTs

43

11

Figura 17. Estructura de interruptores bidireccionales.

44

Figura 18. Corriente y voltaje en interruptores bidireccionales en


estado ON.

45

Figura 19. Corriente y voltaje para interruptores bidireccionales


en estado OFF.

46

Figura 20. Troceador de voltaje AC con 3 interruptores.

50

Figura 21. Secuencia de conmutacin de los interruptores.

51

Figura 22. Simulacin conversor AC/AC tipo buck.

52

Figura 23. Resultados de la simulacin.

52

Figura 24. Esquema para el control de interruptores.

53

Figura 25. Esquema de conversor AC/AC basado con topologa boost.

54

Figura 26. Simulacin de conversor AC/AC tipo BOOST.

55

Figura 27. Resultados de la simulacin.

55

Figura 28. Diagrama esquemtico del diseo de conversor AC/AC


tipo BOOST.

57

Figura 29. Voltaje de salida.

58

Figura 30. Corriente en el inductor serie.

58

Figura 31. Corriente de salida.

58

Figura 32.Conversor AC/AC tipo BOOST con filtro EMI.

59

Figura 33.Forma de onda de la corriente de entrada.

59

12

Figura 34. Simulacin de un rectificador en puente con filtro C.

61

Figura 35. Tensin de salida del rectificador.

62

Figura 36. Evolucin del rizado de la tensin de salida con el consumo.

62

Figura 37. Valor medio de la tensin rectificada y filtrada en


funcin del consumo.

63

Figura 38. Tensin y corriente de entrada del rectificador.

63

Figura 39. Resultados del anlisis de Fourier sobre la corriente de


entrada.

64

Figura 40. Distorsin de la corriente de entrada.

66

Figura 41. Esquema de conversor AC/AC con resistencias


internas para anlisis matemtico.

68

Figura 42. Esquema de circuito de potencia con el condicionador


de corriente.

71

Figura 43. Formas de onda simplificadas del conversor boost.

73

Figura 44. Diagrama de bloques tpico del control de corriente


promedio.

74

Figura 45. Diagrama de bloques de un tpico regulador de voltaje


para control por multiplicador.

76

Figura 46. Diagrama de bloques simplificado del regulador de voltaje.

76

Figura 47. Topologa del conversor boost dual

77

Figura 48. Conversor dual-boost con tcnica OCC para PFC.

79

Figura 49. Formas de onda del voltaje y la corriente de entrada.

79

Figura 50. Formas de onda del bloque de control.

80

13

Figura 51. Espectro de la corriente de entrada.

80

Figura 52. Amplificador de error compensado.

81

Figura 53. Controlador de un solo ciclo.

82

Figura 54. Caracterstica del integrador reseteable.

82

Figura 55. Generacin de la seal PWM.

83

Figura 56. Diagrama esquemtico de conversor AC/AC tipo boost


con tcnica de control OCC.

84

Figura 57. Seales generadas por el controlador.

84

Figura 58. Seal de PWM para los interruptores.

85

Figura 59. Voltaje y corriente de entrada.

85

Figura 60. Espectro de frecuencia de la corriente de entrada.

86

Figura 61. Diagrama de bloques de la arquitectura de la tarjeta


DS1104.

89

Figura 62. Entorno de trabajo del software ControlDesk.

90

Figura 63. Implementacin de conversor BOOST AC/AC en simulink.

91

Figura 64. Interface grfica para monitoreo de sistema conversor


AC/AC tipo BOOST con correccin del factor de potencia.

91

14

LISTA DE ANEXOS

Pg.

Anexo A. Interferencia electromagntica EMI.

99

Anexo B. Ecuaciones para diseo de rectificador.

105

Anexo C. Diseo del controlador OCC.

108

Anexo D. Diseo del transformador de aislamiento.

116

Anexo E. Diseo de conversor boost.

120

Anexo F. Linealizacin aproximada con series de Taylor.

122

Anexo G Transformacin DQ monofsica

134

15

RESUMEN

En este trabajo se presenta una nueva aplicacin del control de un ciclo en un


conversor AC / AC (sin pasar por DC) que incluye la eliminacin de armnicas y la
correccin del factor de potencia en un mismo esquema de control. La topologa
presentada

est

basada

en

investigaciones

previas

correspondientes

conversores AC/ AC y tambin en la topologa elevador boost muy conocida en el


mbito de la electrnica de potencia.

Para escoger el controlador, se estudian primero las tcnicas ms conocidas para


correccin de factor de potencia tanto de forma pasiva con elementos resistivos,
capacitivos e inductivos y de forma activa como lo hacen los conversores de
potencia en combinacin con alguna tcnica de control como el multiplicador,
control de un ciclo y control por seguidor de tensin; finalmente, de acuerdo a los
anlisis y a la necesidad, se adapta el controlador que ms se adecua a la
topologa presentada.

Se muestra detalladamente y paso por paso todo el desarrollo y la investigacin


para llegar a una nueva aplicacin de conversor AC/AC; simulaciones de algunos
de los circuitos ya existentes, anlisis de circuitos y resultados; con base en estos,
se explica cmo poco a poco se fue adaptando el circuito para llegar a una nueva
aplicacin de conversor AC/AC con topologa BOOST y correccin del factor de
potencia, con resultados similares a los ya existentes en investigaciones previas
en cuanto a distorsin armnica total. Se mejora considerablemente el factor de
potencia con alta eficiencia y desempeo del circuito, de acuerdo a resultados de
simulacin. Finalmente se disea una interfaz de usuario que permite la fcil
manipulacin del sistema conversor AC/AC; para esto se hace uso de las
bondades del software ControlDesk de la dSPACE.

16

INTRODUCCIN

Los equipos electrnicos generan hacia la lnea de alimentacin de AC,


deformidad en la corriente que se extrae de ella, haciendo que el contenido
armnico en la lnea de suministro sea considerable. Esto se debe en general por
los elementos no lineales que conforman la fuente de alimentacin de los equipos
electrnicos.

Adicional

esto,

los

equipos

electrnicos

producen

un

desmejoramiento en el factor de potencia del sistema de alimentacin, producido


por los elementos capacitivos e inductivos en el circuito. Estos problemas se
relacionan hoy por hoy con una temtica general llamada Calidad de energa
elctrica.

La electrnica de potencia, ofrece sistemas de alimentacin que permiten la


eliminacin de las corrientes armnicas en la entrada de los equipos electrnicos,
y la correccin en el factor de potencia en la entrada de los mismos; sistemas de
alimentacin que generalmente se desarrollan con base en fuentes conmutadas
(Conversores AC/DC y DC/DC). Para aplicaciones muy especficas, se realiza una
doble conversin cuando la carga a alimentar es AC, haciendo una conversin
inicial AC/DC y posteriormente una DC/AC.

Los reguladores dinmicos de voltaje basados en interruptores bidireccionales,


son una serie de configuraciones que permiten realizar el proceso de regulacin
de tensin AC a AC sin pasar por DC, haciendo que el proceso de conversin sea
mucho ms eficiente. Considerando esto, y teniendo en cuenta las diferentes
tcnicas de control utilizadas en los conversores AC/DC para la correccin del
factor de potencia y la eliminacin de armnicos, se desarrolla y se disea una
nueva aplicacin de conversin AC/AC que incluye el algoritmo de correccin de
factor de potencia y de eliminacin de armnicos de corriente en un mismo
esquema de control.
17

1. ARMONICAS Y PFC

1.1

REDUCCIN DE ARMNICAS

En las plantas industriales se busca operar a factores de potencia superiores a


0.90 para evitar la penalizacin por este concepto; incluso es conveniente alcanzar
valores cercanos a la unidad, que tpicamente se ubican en un factor de potencia
de 0.97, para lo cual se instalan capacitores que pueden provocar resonancias
paralelas en el rango de la 3 y 16 armnica [10]. En una red en la que la
proporcin de cargas no lineales con relacin a la carga total es superior a 10%,
no se deben instalar capacitores ya que la distorsin armnica se incrementar
provocando problemas en los equipos. Incluso ha sucedido que usuarios que solo
tienen cargas lineales, experimentan fallas en sus capacitores y distorsin en
voltaje debido a la importacin de las armnicas de otros usuarios que se
alimentan de la misma red de alta tensin [24]. En los sistemas elctricos donde
el contenido armnico de las seales est afectando su desempeo, se instalan
filtros, con el propsito de reducir la distorsin, aproximando dichas seales a la
forma de onda sinusoidal. Los filtros tanto pasivos como activos, son equipos cuyo
propsito es interactuar con una frecuencia especfica o rango de frecuencias de
una seal dada, teniendo el mayor impacto cuando se instalan lo ms cercano
posible a las cargas no lineales.

1.1.1 Efectos asociados a la reduccin de armnicas. Con la reduccin de


armnicas se obtienen beneficios tales como:

Elevacin del factor de potencia.


Reduccin de consumo de energa reactiva de las redes.
2

Reduccin de prdidas producidas por efecto Joule (I R).


Prolongar la vida de equipo electrnico.
Reduccin de sobrecalentamientos en el cableado.
Reduccin de prdidas en transformadores.
Incremento en la eficiencia y prolongacin de la vida de motor.

1.2 MTODOS PARA REDUCIR LAS ARMNICAS.

Los mtodos para reducir las armnicas se implementan de acuerdo a la


necesidad de cada sistema y son las siguientes [38]:

18

Separar las cargas lineales de las no lineales.


Realizar mantenimiento predictivo a la instalacin elctrica.
Mayor dimensionado de los transformadores y cables para disminuir las
perturbaciones.
Uso de reactores de lnea para corriente alterna.
Uso de circuitos activos para compensar las armnicas.
Uso de circuitos pasivos con impedancia baja para las corrientes armnicas
para que estas fluyan por el filtro y no por la fuente de alimentacin.
Uso de transformadores para separar los armnicos mltiplos de tres de la
fuente de alimentacin.

De estos mtodos, los ms usados son filtros pasivos y filtros activos los cuales se
pasan a estudiar a continuacin.

1.2.1. Circuitos pasivos. Solo utilizan componentes pasivos (R, L, C) para


suavizar la corriente de entrada. Se instalan filtros para reducir la distorsin
armnica total; el ms comn en conversores es el filtro para mitigar la
interferencia electromagntica (EMI): Es conveniente estudiar este tipo de ruido en
conversores con correccin del factor de potencia. Ver anexo A.

1.2.2 Circuitos activos. Se trata de convertidores que consiguen obtener de la


red una corriente con un patrn sinusoidal o cuasi-sinusoidal. Hay gran cantidad
de circuitos activos de este tipo. Los dos grupos ms importantes son:

Circuitos de una sola etapa (Single Stage).


Emuladores de resistencia.

1.2.3 Cul es la mejor solucin? El factor de potencia exigido por la empresa


elctrica se puede conseguir en una forma prctica y econmica, instalando
condensadores elctricos estticos o utilizando los motores sincrnicos
disponibles en la empresa. En plantas industriales, la forma ms prctica para la
correccin del bajo factor de potencia es la utilizacin de dichos condensadores.
La corriente del condensador es usada para suplir en su totalidad o en parte, las
corrientes magnetizantes requeridas por las cargas. Los condensadores mejoran
el factor de potencia debido a que sus efectos son exactamente opuestos a los de
las cargas reactivas ya definidas, eliminando as el efecto de ellas. Pero hay un
problema, hay ocasiones en que la carga que ocasiona el bajo factor de potencia
es demasiado grande y para compensarlo se requiere de un banco de
condensadores o de inductancias demasiado grande, esto implica algo muy
19

costoso o imposible de conseguir es por esta razn que existen hoy en da,
mtodos muchos ms eficientes como lo son los circuitos activos, entre ellos estn
conversores con topologa BOOST, Fly Back, Buck que en conjunto con una
buena tcnica de control, se logra un mejor resultado en cuanto a correccin de
factor de potencia y reduccin de armnicas, adems, los circuitos activos
permiten trabajar a una frecuencia deseada, segn sea la necesidad, mientras que
los mtodos pasivos no; por tal motivo se corrige el factor de potencia de forma
activa.

1.3 CORRECCION ACTIVA DEL FACTOR DE POTENCIA

Los conversores de potencia permiten obtener los mejores resultados en lo que se


refiere a la calidad de corriente demandada por la entrada, aunque son muy
costosos y menos robustos que las pasivos. El concepto bsico de este tipo de
soluciones se fundamenta en el concepto de emulador de resistencia.

1.3.1 Emulador de resistencia (ER). Son convertidores que, vistos desde la red,
emulan el comportamiento de una resistencia: la corriente que demandan es
proporcional a la tensin que reciben como se muestra en la figura 1.

Figura 1. Diagrama de emulador resistivo.

Fuente: Sistemas de alimentacin [en lnea]. Oviedo: Universidad de Oviedo,


2009 [consultado el 10 de abril de 2009]. Disponible en Internet:
www.ate.uniovi.es/sebastian/S_E_Alimentacion/Leccion%2012%20CFP.pt

La fuente ve la carga como si fuera netamente resistiva. Si la tensin de entrada


es sinusoidal, la corriente de entrada tambin ser sinusoidal. Como se muestra
en la figura 2.

20

Figura 2. Forma de onda de corriente y voltaje en un emulador de


resistencia.

Fuente: Sistemas de alimentacin [en lnea]. Oviedo: Universidad de Oviedo,


2009 [consultado el 10 de abril de 2009]. Disponible en Internet:
www.ate.uniovi.es/sebastian/S_E_Alimentacion/Leccion%2012%20CFP.pt

Hay dos formas de conseguir que un convertidor se comporte como un emulador


de resistencia:
De forma natural: Algunos convertidores, trabajando de un modo concreto
tienen este comportamiento de forma natural con control por seguidor de tensin,
tales convertidores son:

Elevador.
Reductor-Elevador.
Flyback.

Mediante control adecuado: El sistema de control del convertidor puede


obligar a que la corriente de entrada siga un cierto patrn. Si el patrn es
sinusoidal, el circuito ser un emulador de resistencia.

1.3.1.1 Propiedades del emulador de resistencia. Es conveniente hacer ciertas


consideraciones sobre la naturaleza del emulador resistivo: en primer lugar, es un
convertidor conmutado y por tanto, en condiciones ideales no presenta prdidas.
Tambin se debe saber que los elementos reactivos del emulador de resistencia
se calculan en funcin de la frecuencia de conmutacin y por tanto son capaces
de almacenar energa elctrica solo en periodos del orden de conmutacin, sin ser
capaces de hacerlo en periodos tan grandes como el de la red; con estas
consideraciones, la potencia instantnea de entrada del emulador de resistencia
ser una funcin del tipo seno elevado al cuadrado, lo mismo que la potencia a la
21

salida del emulador resistivo y por tanto, pulsante a la doble frecuencia de la red.
Como el objetivo final es generar un bus de continua, debe haber un elemento
adicional que sea capaz de conseguir que la tensin en el bus sea ms o menos
constante; este elemento es un condensador de almacenamiento admitiendo que
el valor de este es suficientemente grande como para que su tensin sea casi
constante, entonces, toda la corriente de alterna presente a la salida del emulador
de resistencia circulara por l mientras que por la carga circulara toda la corriente
continua [35].

La resistencia vista por el emulador r(wt), ser el cociente entre la tensin de


salida (constante) y la corriente que entrega (del tipo seno cuadrado), se puede
demostrar [16] que se cumple:
r ( wt )

Vo
Ro

Io( wt ) 2 Sin 2 ( wt )

(1)

Donde Ro es la resistencia de carga. Esta ecuacin da a conocer una propiedad


importante en los ER: La carga resistiva r(wt) que ve el convertidor, cambia
desde R hasta infinito. El convertidor que se utilice, debe poder trabajar en vaco
(R = ) [28]. Por otra parte, considerando la relacin de transformacin del ER,
m(wt), definido como el cociente entre la tensin constante de salida Vo y la
tensin variable de entrada Vg(t), se cumple que:
m( wt )

Vo
Vo / Vin( wt )

| Vin( wt ) |
| sin( wt ) |

(2)

De la ecuacin 2 se deduce que la relacin de transformacin de un ER varia


constantemente presentando un valor mnimo Vo/Vg y un mximo infinito. El
convertidor que se utilice debe cumplir esta propiedad [28](no todos lo hacen).
Los que cumplen esta condicin son:
Elevador:

Reductor elevador/ Fly back:

Vo
1

Vg 1 D
Vo
D

Vg 1 D

(3)
(4)

Con D = 1, el cociente es infinito.


Las ecuaciones (1) y (2) sirven para estudiar algunos aspectos del funcionamiento
interno de los emuladores de resistencia. Por ejemplo, las tensiones y las

22

corrientes en sus componentes, la variacin de ciertos parmetros internos del


emulador de resistencia o las condiciones para garantizar modo de conduccin
continuo o discontinuo en el convertidor. A continuacin pasamos a estudiar los
convertidores ms comunes usados en la correccin de factor de potencia para
correccin de factor de potencia consultados del libro de Hart [17] y las tcnicas de
control ms usadas en estos conversores.

1.4 TOPOLOGIAS DE CONVERSORES DC/DC PARA CORRECCION DE


FACTOR DE POTENCIA.

A continuacin se muestra un resumen de las diferentes topologas de


conversores dc/dc, que se explican en el libro de Hart [17].

1.4.1 Conversor reductor BUCK. En la figura 3 se muestra el esquema del


conversor reductor buck. Vi es la tensin DC de entrada, Vo es la tensin DC de
salida, que se supone constante. En este conversor siempre se cumple que Vo es
menor o igual que Vi, por esta razn se conoce como reductor. El interruptor S
conmuta segn el voltaje de salida que se requiera. Este conversor tiene dos
modos de operacin, Modo de conduccin continua (MCC) y modo de conduccin
discontinua (MCD). El MCD sucede cuando la corriente en el inductor L se anula o
se vuelve cero antes del final de cada periodo de conmutacin T en el interruptor;
y el modo de conduccin continua, cuando la corriente de inductor nunca es cero
durante el periodo de conmutacin del interruptor. El voltaje de salida depende
directamente del ciclo til D:
Vo DVi

Figura 3. (a) Convertidor CC CC reductor, (b) Circuito equivalente cuando


el interruptor est cerrado. (c) Circuito equivalente cuando el interruptor est
abierto.

23

Fuente: HART Daniel. Electrnica de potencia. Mxico: Pearson Education, 2001.


p. 204.

Las formas de onda se muestran en la figura 4. Cuando el interruptor est cerrado,


el diodo se polariza en inversa y el circuito equivalente es el mostrado en la figura
3(b). Como la derivada de la corriente es una constante positiva, la corriente
aumenta linealmente como se muestra en la figura 4(c).

Figura 4. Formas de onda del convertidor reductor. (a) Tensin de la bobina.


(b) Corriente en la bobina. (c) Corriente del condensador.

Fuente: HART Daniel. Electrnica de potencia. Mxico: Pearson educacin, 2001.


p. 206.

1.4.2 Convertidor elevador BOOST. El esquema de este conversor es mostrado


en la figura 5. Vi es la tensin dc de entrada y Vo es la tensin DC de salida,
siendo esta ltima siempre mayor que Vi, al igual que en el buck, en este
conversor, el interruptor conmuta a una frecuencia f = 1/T y se tienen dos modos
de operacin, modo de conduccin continua y modo de conduccin discontinua.
Cuando el interruptor conduce, se transfiere energa de la fuente de entrada Vi al
inductor L donde es almacenada y cuando el interruptor no conduce, la energa
almacenada en L junto con la proveniente de Vi se transfiere a la salida.
24

Figura 5. Convertidor elevador. (a) Esquema del circuito. (b) Circuito


equivalente cuando el interruptor est cerrado. (c) Circuito equivalente
cuando el interruptor est abierto.

Fuente: HART Daniel. Electrnica de potencia. Mxico: Pearson educacin, 2001.


p. 213.

Anlisis con el interruptor cerrado. Cuando el interruptor est cerrado, el diodo


esta polarizado en inversa, la ley de Kirchhoff para las tensiones de malla que
incluye la fuente, la bobina y el interruptor es

VL Vs L

diL
dt

diL Vs

dt
L

(5)

El ritmo de variacin de la corriente es una constante, por lo que la corriente


aumenta linealmente cuando el interruptor est cerrado, como se muestra en la
figura 6(b). La variacin de corriente en la bobina se calcula utilizando

iL iL Vs

t DT
L

(6)

Despejando iL cuando el interruptor est cerrado.

(iL )cerrado

VsDT
L

25

(7)

Figura 6. Formas de onda del convertidor elevador. (a) Tensin de la bobina.


(b) Corriente de la bobina. (c) Corriente del diodo. (d) Corriente del
condensador.

Fuente: HART Daniel. Electrnica de potencia. Mxico: Pearson educacin, 2001.


p. 214.

Cuando el interruptor est abierto, la corriente en la bobina no puede variar de


forma instantnea, por lo que el diodo se polariza en directa para brindar un
camino a la corriente de la bobina, suponiendo que la tensin de salida Vo es
constante, la tensin de la bobina es

diL
dt

VL Vs Vo L

(8)

diL Vs Vo

dt
L
El ritmo de la variacin de la corriente en la bobina es una constante, por lo que la
corriente debe variar linealmente cuando el interruptor este abierto. La variacin
de la corriente en la bobina con el interruptor abierto es
iL
iL
Vs Vo

t
(1 D )T
L

(9)

Despejando iL

(iL )abierto

(Vs Vo)(1 D)T


L
26

(10)

En modo de conduccin continua, la variacin neta de corriente de la bobina debe


ser igual a cero. Utilizando las ecuaciones 9 y 10 se obtiene

(iL )abierto (iL )cerrado 0


VsDT (Vs Vo)(1 D)T

0
L
L

(11)

Despejando Vo:
Vs ( D 1 D ) Vo(1 D ) 0

Vo

Vs
1 D

(12)

Adems, la tensin media en la bobina debe ser cero cuando el convertidor opere
en rgimen permanente. La expresin de la tensin media en la bobina en un
periodo de conmutacin es
VL VsD (Vs Vo )(1 D ) 0

(13)

Al calcular Vo se obtiene el mismo resultado que el obtenido con la ecuacin 12.


La ecuacin 12 muestra que si el interruptor siempre est abierto y D es cero, la
salida es igual a la entrada. Al aumentar el ciclo de trabajo, el denominador de la
ecuacin 12 disminuir y la salida ser mayor que la entrada. Sin embargo con el
convertidor elevador, cuando el ciclo de trabajo se aproxime a 1, la salida se har
infinita (teniendo en cuenta que la ecuacin 12 se basa en componentes ideales).
Los componentes reales, que producen perdidas, impedirn que la salida se haga
infinita. En la figura 6 se muestra las formas de onda del voltaje y corriente del
conversor elevador.

1.4.3 Conversor reductor elevador buck boost. Otro convertidor bsico


es el reductor-elevador que se muestra en la figura 7. La salida de este conversor
puede ser mayor o menor que la entrada. Cuando el interruptor se cierra, el diodo
se polariza en forma inversa y la entrada solo provee energa al inductor. Cuando
el interruptor se abre, la energa almacenada en el inductor se transfiere a la
salida; durante este ltimo intervalo la fuente de entrada al conversor no
proporciona energa a la salida, solo el inductor es quien lo hace. En el anlisis de
estado estacionario, se asume que el capacitor de salida es muy grande, lo cual
resulta un voltaje de salida constante. El voltaje de salida est definido como
D
Vo Vi

1 D

27

(14)

La magnitud de salida del convertidor puede ser mayor o menor que la de la


fuente, en funcin del ciclo de trabajo del interruptor. Si D > 0,5 la salida ser
mayor que la entrada y si D < 0,5, la salida ser menor que la entrada. Sin
embargo, la inversin de polaridad en la salida, puede ser una desventaja para
algunas aplicaciones. En la figura 8 se muestra las formas de onda de tensin y
corriente. Observe que en el convertidor reductor elevador, la fuente nunca se
conecta directamente a la carga. La energa se almacena en la bobina cuando el
interruptor est cerrado y se entrega a la carga cuando est abierto; por tanto, el
convertidor tambin se denomina convertidor indirecto.

Figura 7. Convertidor reductor - elevador. (a) Esquema del circuito. (b)


Equivalente del circuito cuando el interruptor est cerrado. (c) Equivalente
del circuito cuando el interruptor est abierto.

Fuente: HART, Daniel. Electrnica de potencia. Mxico: Pearson educacin, 2001.


p. 204.

Figura 8. (a) Corriente en la bobina. (b) Tensin en la bobina. (c) Corriente en


el diodo. (d) Corriente en el condensador.

28

Fuente: HART, Daniel. Electrnica de potencia. Mxico: Pearson educacin, 2001.


p. 220.

1.5 ELECCION DE LA TOPOLOGIA DC/DC BASE PARA EL CONVERSOR


AC / AC CON PFC.

Para este trabajo se empieza suponiendo que el comportamiento funcional de los


conversores flyback, buck, boost y buck-boost es similar si se trabaja tanto en
DC/DC como en AC/AC, por esta razn, se realiza el anlisis funcional de
conversores en DC y se asume que se puede transportar a AC con algunas
consideraciones como el hecho de que en lugar de interruptores comunes van a
tener interruptores bidireccionales, pero los principios de transferencia de energa
se asumen igual tanto en AC como en DC.

El buck-boost, transfiere a la salida, el total de la energa almacenada en el


inductor, este elemento normalmente tiene una inductancia y un ncleo muy
grandes, lo que hace al circuito innecesariamente grande y pesado, por lo tanto se
descarta. Con el Flyback es necesario un transformador adicional y como solo se
necesita una salida de voltaje, no se justifica su desarrollo en este trabajo, adems
la potencia que maneja este conversor es baja con respecto a la que se especifica
para este trabajo (entre 1000 y 1200 Watts) [4]. El conversor boost puede obtener
una corriente de lnea continua si se trabaja en modo de conduccin continuo.
Esto se debe a que la lnea se encuentra en serie con el inductor del conversor y
toda la corriente circula a travs de este. Se pueden alcanzar niveles de rizado
muy suaves en la corriente de lnea si sta es continua; los dems conversores,
aunque sean operados en el modo de conduccin continuo, generan una corriente
de lnea discontinua como ocurre con el buck y buck-boost, que la lnea se
conecta al conversor solo en periodos de encendido del interruptor.

En el Flyback, aunque el primario est conectado en serie con la lnea, la corriente


de este solo existe durante el periodo Ton del interruptor, ya que en Toff los
secundarios son los que conducen corriente [3]. El boost es el ms adecuado para
implementaciones con correccin del factor de potencia (en ingls, Power Factor
29

Correction, PFC), debido a que el inductor boost est en serie con el terminal de
entrada de AC, por tanto este inductor tendr un rizado muy pequeo, lo cual
facilita la implementacin del control en modo promedio de corriente (ms
adelante del documento se explica este tipo de control), es por esta razn que la
topologa BOOST es la ms comn en implementaciones de aplicaciones PFC
operando en modo de conduccin continuo ya que permite un alto factor de
potencia( FP> 0.98), baja distorsin armnica total (THD < 5% ) y un amplio rango
de operacin de voltaje AC [7]; usualmente es para regular alto voltaje (400 Vdc),
permite baja capacitancia para un tiempo de almacenamiento dado y un diseo
mucho ms eficiente de conversor [29]. Tambin se tiene en cuenta que la
relacin de transformacin del conversor BOOST,
varia constantemente
presentando un valor mnimo Vo/Vi y un mximo infinito de acuerdo con la teora
vista anteriormente con respecto a las caractersticas de los emuladores de
resistencia; por esto es la topologa boost es la escogida para este trabajo.

1.6 ELECCION DEL MODO DE OPERACIN DEL CONVERSOR

En el modo de conduccin continua, la corriente de lnea total, que es la suma de


las corrientes que pasan por el interruptor y por el diodo, tendr rampas
ascendentes y descendentes poco pronunciadas mediante la eleccin de un
inductor de gran valor. Teniendo en cuenta adems que en este modo de
conduccin, la corriente en el inductor no tendr trechos con el valor cero entre el
tiempo de bloqueo y el tiempo de encendido del interruptor y que el periodo de
conmutacin de este ltimo es considerablemente menor al periodo del voltaje de
lnea, se tendr durante cada ciclo de conmutacin una corriente de lnea
proporcional al voltaje de lnea, logrndose as que la corriente copie la forma de
la seal de voltaje de entrada [15]. Por lo anteriormente expuesto y debido a que
uno de los principales parmetros en los conversores de potencia es obtener una
corriente de entrada muy suave, la operacin en modo continuo del conversor
boost es la ms apropiada para la correccin del factor de potencia y por lo tanto
se escoge para este trabajo.

1.7 TECNICAS DE CONTROL USADAS EN CONVERSORES DC/ DC CON PFC.

El control de un emulador de resistencia debe satisfacer los siguientes


parmetros:

- Forzar que el voltaje de salida sea el deseado. Esto se consigue siempre con un
lazo de retroalimentacin de voltaje que obligue a que este siempre sea constante.

30

- Forzar a que la corriente de entrada del emulador sea una sinusoide bien
definida. Esto se consigue operando el convertidor en modo de conduccin
continuo, este modelo se puede lograr con diferentes tcnicas de control utilizadas
en los conversores DC/DC como lo son:

Control por multiplicador con un lazo de retroalimentacin de la corriente de


entrada cuya referencia sea una sinusoide rectificada y lazo de voltaje de la
tensin de salida. Este mtodo no exige ninguna caracterstica especial de la
topologa de conversor.

Control de un ciclo, el cual aprovecha las caractersticas no lineales de los


conversores conmutados para lograr un control instantneo del valor promedio de
la corriente del interruptor.

Control con lazo de tensin y modo de operacin especial, tambin


llamado control como seguidor de tensin.

1.7.1 Control por multiplicador. Cuando el emulador de resistencia est


trabajando en el modo de operacin continuo, va ha ser necesario emplear una
estrategia de control conocida como "control por multiplicador". Esta estrategia
consiste en emplear dos lazos de control, como se puede ver en la figura 9. La
idea bsica, consiste en muestrear la corriente de entrada (lazo de corriente), y
compararla con una referencia sinusoidal. La referencia usada es la propia tensin
de entrada, lo cual representa una importante simplificacin del circuito de mando;
y con la ventaja aadida de que en el supuesto de que la tensin de alimentacin
este distorsionada la corriente tambin lo estar en la misma proporcin, lo cual
garantizar el que se tenga un factor de potencia unitario [35]. En el lado ms
externo est representado el lazo de tensin, que tiene como misin garantizar
que la tensin de salida Vo sea la deseada. Esto se consigue por medio del
multiplicador, que ofrece a su salida el producto de la seal de referencia
sinusoidal de la entrada por la seal de error del lazo de tensin Ve, generando
as una nueva seal de referencia sinusoidal Vri. Esta seal es entonces
comparada con la corriente real presente en el circuito, generando as una seal
de error. La seal de error resultante, es comparada con una onda diente de sierra
generando as la seal de mando PWM para el interruptor de potencia. Si la seal
de error Ve proveniente del lazo de tensin no es continua, el producto de sta por
la referencia sinusoidal de la entrada va a resultar en una forma de onda no
sinusoidal y por lo tanto va generar una distorsin armnica y el FP ser
seguramente diferente de la unidad. Por ello se justifica la existencia del filtro
pasa-bajos en el lazo de tensin, que tiene como objetivo principal el filtrar la
componente de baja frecuencia (2 fred) presente en la tensin de salida [35].

31

Esta componente de baja frecuencia es debida a la componente AC presente en la


corriente de salida del emulador de resistencia (ER), Hasta ahora se ha dicho que
cuando un conversor acta como emulador de resistencia la corriente de entrada
tiene una forma de onda sinusoidal, Sin embargo, al tener un convertidor
conmutado es lgico pensar que la corriente de entrada va a presentar un rizado
de alta frecuencia. De hecho la corriente de entrada en algunas topologas de PFC
ideales en modo de conduccin continuo (MCC), presenta una forma de onda
como aquella representada en la figura 10, donde se puede observar que el valor
medio, de la corriente de entrada en cada perodo de conmutacin de alta
frecuencia (T) sigue la forma de onda sinusoidal, segn se expresa en la ecuacin
37. As se garantiza que la corriente de entrada no va a tener componentes
armnicos de baja frecuencia. Sin embargo, existirn los componentes armnicos
de alta frecuencia, cuyo estudio es el objeto de esta tesis.
T

1
ig (t )dt I g sen( wt )
T 0
De cara a implementar el lazo de corriente existen varias tcnicas, a saber:
I g , media ( wt )

(15)

- control de corriente promediada.


- control de corriente de pico.
- control de histresis variable.

Figura 9. Diagrama del circuito del control por multiplicador.

Fuente: POSADA J. Convertidores de potencia. Cali, Colombia. Universidad


Autnoma de Occidente. Notas de clase, 2008.

32

Figura 10. Corriente de entrada del convertidor en MCC.

Fuente: POSADA J. Convertidores de potencia. Cali, Colombia. Universidad


Autnoma de Occidente. Notas de clase, 2008.

Desafortunadamente apenas una de ellas es capaz de implementar un PFC ideal.


La tcnica conocida como control de corriente promediada, es la nica que
garantiza un funcionamiento ideal del conversor en el modo de conduccin
continuo. Esta tcnica consiste bsicamente en aplicar un filtrado adecuado a la
corriente ig (t) de tal forma que se obtenga una imagen del valor medio de esta
corriente ig media (t), la cual va a ser comparada con la seal de referencia
sinusoidal. Este mtodo de monitoreo de corriente promedio con frecuencia de
conmutacin fija, es el que mejor se acomoda a las exigencias de este trabajo
porque monitorea la misma variable que se pretende controlar, obtenindose as
una excelente precisin y tambin porque usa un boost en MCC haciendo que el
rizado en la corriente sea pequeo y que el voltaje de salida sea superior al de la
entrada. Por lo anterior, es el mtodo escogido para este trabajo.

1.7.2 Control de un solo ciclo (OCC). En esta tcnica de control fue desarrollada
para lograr un modelo de seal grande y control no lineal de los convertidores
conmutados; el elemento fundamental es el integrador reajustable [36]. El
esquema de esta tcnica con el convertidor boost, es mostrado en la figura 11.
El control de un solo ciclo aprovecha las caractersticas no lineales de los
conversores conmutados para lograr un control instantneo del valor promedio del
voltaje o la corriente del interruptor de potencia. Esta tcnica ha sido diseada
para controlar el ciclo de trabajo en tiempo real de tal forma que en cada ciclo, el
promedio de la forma de onda del troceador sea exactamente igual a la referencia
de control [8]. Este mtodo de control provee una tcnica de control unificada y
adaptable para varias topologas y tipos de modulacin, cambiando simplemente
el control y la referencia de entrada.

33

Con el control de un ciclo, la salida del amplificador de error de voltaje es


integrado sobre un ciclo de conmutacin para producir una seal de voltaje en
forma de rampa, la cual es comparada con un voltaje de referencia generado de la
suma entre la corriente del inductor y el voltaje de error; el resultado de esta
comparacin es el que determina el ciclo de trabajo del interruptor de potencia. Un
aspecto importante en esta tcnica de control es el hecho de que la rampa creada
por el circuito integrador se reinicia al final de cada ciclo de conmutacin y la seal
rampa empieza otra vez en cero en el siguiente ciclo[8]; es por esto que este
mtodo es denominado Control de un solo ciclo (OCC).

Una caracterstica importante es que la constante de integracin de dicho


integrador debe ser igual al periodo de conmutacin de tal forma que al final de
cada ciclo, la rampa alcance el valor de integracin Vm. Para forzar que la
corriente de entrada sea sinusoidal en OCC hay que tener en cuenta las
siguientes consideraciones [30]:

Figura 11. Esquema del control de un solo ciclo.

Fuente: ROWN R. and SOLDANO M. One Cycle Control IC Simplifies PFC


designs. Applications group International Rectifier Corp. 1997.

El convertidor opera en modo de conduccin continuo, por lo tanto, el conversor


opera bajo la siguiente ecuacin:

34

Vin
1 D
Debido al integrador con reset se obtiene
Vo

(16)

VmD Vm I pk .Rs

(17)
Donde D es el ciclo til, Vm es el voltaje de modulacin, Ipk es la corriente pico
instantnea en la frecuencia de conmutacin y Rs es la resistencia del sensado.
De la ecuacin 17 se concluye que

Vm VmD
Rs
De las ecuaciones 16 y 18, el valor de Ipk queda de la siguiente manera:
I pk

I pk

Vm.Vin
Vo.Rs

(18)

(19)

Por lo tanto, la ecuacin 19 demuestra que la corriente pico instantnea es


proporcional al voltaje de entrada, ya que Vm, Vo y Rs permanecen constantes
durante cada ciclo de conmutacin.

1.7.3 Control por seguidor de tensin. Ciertas topologas de convertidores


DC/DC presentan una propiedad en particular; cuando trabajan en MCD o en el
lmite entre el MCD y el MCC, el valor medio de la corriente de su entrada es
proporcional a la tensin de entrada, siempre que se mantenga constante el
tiempo de conduccin del transistor. Algunos ejemplos son los convertidores
reductor elevador, Flyback y cuck, en MCD y el convertidor boost en la frontera
entre ambos modos. Este ltimo convertidor, demanda una corriente de entrada
casi proporcional a la tensin cuando trabaja en MCD a frecuencia constante. Esta
propiedad determina que estas topologas sean emuladores de resistencia. En
efecto, si la tensin de entrada vara siguiendo una sinusoide rectificada, la
corriente media en la entrada ser tambin una sinusoide rectificada; en vista de lo
anterior, resulta muy sencillo realizar un emulador de resistencia con este tipo de
topologas. La figura 12 muestra el esquema del seguidor de tensin, donde se
observa la existencia de un nico lazo de voltaje que determina el valor del
parmetro de control (ciclo de trabajo, tiempo de conduccin o frecuencia de
conmutacin segn sea el caso). Resulta necesario disponer un filtro pasa bajos
en el lazo de retroalimentacin, lo que lo hace muy lento. Por ltimo cabe
mencionar que el nombre de control por seguidor de tensin se justifica ya que la
corriente de entrada sigue la tensin de la entrada de forma natural.

35

Figura 12. Esquema de control por seguidor de tensin.

Fuente: POSADA J. Convertidores de potencia. Cali, Colombia. Universidad


Autnoma de Occidente. Notas de clase, 2008.

1.8 ELECCION DE LA TECNICA DE CONTROL PARA EL CONVERSOR

1.8.1. Consideraciones generales. Los conversores descritos hasta ahora son


todos ideales. Por supuesto que aquellos que emplean el control por multiplicador
con corriente promediada resultan siempre en conversores ideales, toda vez que
el circuito de control obliga a ello, con la excepcin, claro est, del convertidor
reductor y sus derivados. Tambin ya se ha dicho que ciertos convertidores
cuando trabajan en el modo de conduccin discontinuo (MCD) bajo ciertas
condiciones, son capaces de implementar conversores ideales, sin embargo, en
ciertas circunstancias puede ser interesante implementar conversores casi ideales,
aceptando una pequea reduccin en el factor de potencia (FP). Como ya se dijo
valores de FP entre 0,9 y 0,99 pueden ser obtenidos dependiendo de la topologa
utilizada. Aqu se est haciendo referencia a los conversores en MCD. Este
mtodo es especialmente interesante en el caso del convertidor reductor, el cual
de todas formas es incapaz de operar como un PFC ideal. Tambin se puede
utilizar esta tcnica, cuando se quiere implementar un PFC con el convertidor
elevador con D y frecuencia constantes. A continuacin se muestran algunas
comparaciones entre la tcnica de control por multiplicador y control de un solo
ciclo.

Las diferencias entre el control por multiplicador y control de un solo ciclo es la


manera en que se toma la referencia de corriente. La funcionalidad y rendimiento
de las dos tcnicas (control de un ciclo y multiplicador) son esencialmente iguales,
36

sin embargo, la reduccin de complejidad en el circuito, y los elementos externos


necesarios del control de un ciclo, hacen pensar que es difcil lograr resultados
equivalentes entre esta tcnica y las soluciones basadas en el control por
multiplicador.

1.8.2 Comparacin de las tcnicas estudiadas [8]. El criterio de diseo para la


etapa de potencia es prcticamente indistinguible entre las dos tcnicas en
trminos de seleccionar el enfoque del tipo de control. El diseo del circuito de
control es otra materia la cual es resumida en la tabla 1 en trminos de los
requerimientos de diseo entre las dos soluciones.

Tabla 1. Resumen de los requerimientos de diseo de las dos tcnicas de


control.

Parmetro de diseo
Iac Referencia de corriente de
entrada
Vff Filtrado de Voltaje de
lnea
Salida del multiplicador
Amplificador con
compensacin de corriente
Arranque suave
Sensado de voltaje de salida
y compensacin
Sensado de corriente

Control por
multiplicador

Control de un ciclo ( OCC)


X

X
X

El control por multiplicador requiere algunos parmetros adicionales, comparado con


el control de un ciclo, evitando simplicidad para la naturaleza del mtodo de control.

Referencia de entrada AC. En el caso del multiplicador, la lnea de AC


necesita ser sensada para generar la corriente de referencia Iac. Este parmetro no
es complejo, solo requiere un simple factor de escalonamiento. Esta seal no la
necesita el OCC ya que la referencia es reconstruida por el sensado de la corriente
de salida.

Filtrado del voltaje de lnea. Es comn que en el voltaje de salida de los


conversores AC/DC aparezca un rizado indeseado de 120Hz por efecto del

37

rectificador de entrada; un filtrado para rechazar este rizado es necesario para


eliminar la distorsin del voltaje de salida. Esta seal no es necesaria en el OCC.

Salida del multiplicador. La salida del multiplicador debe ser ajustada para
coincidir con la corriente mxima que pasara por la resistencia de sensado y el
mximo de la corriente del multiplicador.

Amplificador con compensacin de corriente. El amplificador de corriente


requiere una red externa de compensacin para operar en modo promedio de
corriente. Esta es independiente del tipo de control. El OCC permite un modoestable
de operacin de corriente pico, haciendo que el lazo de compensacin de corriente
sea innecesario.

El arranque suave, el sensado de voltaje y corriente de salida son idnticos tanto en


el control por multiplicador como en el control de un ciclo. Las diferencias en los
requerimientos de diseo de las 2 tcnicas radican en la diferencia del nmero de
componentes que se muestra en la tabla 2 tomada de [8].

Tabla 2. Nmero de componentes necesarios para cada tcnica de control.

PASIVOS
Resistencias
Capacitores

MULTIPLICADOR
18
8

OCC
11
4

El uso de la tcnica OCC provee una significante reduccin de componentes para el


circuito de control comparado con la tcnica de multiplicador; esto se traslada a una
reduccin en el costo y el espacio requerido para una implementacin.
A continuacin se muestran resultados experimentales tomados de la International
Rectifier [8].
Factor de potencia: Dos conversores operados a una potencia mxima de
250W cada uno. Uno utiliza el control por multiplicador y el otro el control de un ciclo
y han sido comparados ambos a temperatura ambiente siguiendo una estabilizacin
suficiente a 30 minutos con 90VAC y 250W. El factor de potencia, componentes
armnicas y formas de onda de corriente de lnea han sido comparadas para los dos
tipos de control con variacin de carga. Los resultados son muy similares y son
mostrados en la figura 13(a) y 13(b).

38

Figura 13. (a) Factor de potencia Vs Potencia/lnea con OCC. (b) Factor de
potencia Vs Potencia/lnea con multiplicador.

Fuente: BROWN R and SOLDANO M. One cycle control IC simplifies PFC


designs. AC-DC applications group. International rectifier corp.

Componentes armnicas y formas de onda: Para la reduccin de


componentes armnicas se deben tener en cuenta los estndares de la EN61000-32 : Norma europea que limita el contenido armnico de cada equipo
individualmente. Se clasifican en 4 grupos: clase B, para equipos porttiles, clase
C, para equipos de iluminacin, clase D para TV, PC y monitores y clase A para el
resto de equipos, para ver su contenido y lmites permitidos, ver referencia [6].

Figura 14a. Lmites para armnicos de la norma EN61000-3-2. Figura 14b.


Componentes armnicas a 230VAC de lnea.

(a)

(b)

Fuente: BROWN R and SOLDANO M. One Cycle Control IC Simplifies PFC


designs. AC-DC Applications group International Rectifier Corp. 1997.
39

Los lmites para armnicos exigidos por la norma EN61000-3-2 son mostrados en la
figura 14(a) y los resultados medidos de los contenidos armnicos obtenidos de [8],
son mostrados en la figura 14(b) y 15, como se aprecia en dichas figuras, es
evidente que ambos tipos de control cumplen con los requerimientos de contenido
armnico impuesto por el estndar para la clase A y clase D.

Figura 15. Componentes armnicas a 115 VAC de lnea.

Fuente: BROWN R and SOLDANO M. One Cycle Control IC Simplifies PFC


designs. AC-DC Applications group International Rectifier Corp. 1997.

El control de un solo ciclo genera resultados equivalentes al control por


multiplicador. Ambas soluciones proveen un alto factor de potencia y reduccin de
armnicas cumpliendo con los requerimientos en cuanto a lmites permitidos de
contenido armnico del estndar EN61000-3-2 [37].

Los requerimientos de diseo para el control de un solo ciclo necesitan un reducido


nmero de componentes haciendo que ste tenga gran aceptacin por que se
minimiza bastante el costo. Menos componentes para el control, equivale a menor
costo de manufactura y reduccin de espacio en la board en el caso de ser
implementado; a esto se le aumenta, la reduccin en el tiempo de diseo, por todo
esto, la tcnica de control de un solo ciclo es una de las ms usadas en diseos con
PFC.

1.8.3 Consideraciones Finales. El control por multiplicador de la corriente


promediada se ha generalizado en la actualidad pues este se presenta bastante
inmune al ruido y puede trabajar a frecuencia constante. Sin embargo, en la
secuencia de este trabajo se va a poder comprobar que esto no siempre es una
ventaja. "sus nicas desventajas radican en la complejidad del circuito de mando
40

el cual necesita una compensacin adecuada del lazo para que sea estable (el
valor de la ganancia del lazo a la frecuencia de conmutacin est perfectamente
acotado), y debe incorporar un calculador analgico de la funcin cuadrado y un
divisor analgico si se desea un buen funcionamiento con tensiones de entrada
muy variables (por ejemplo, desde 110 a 240 voltios). Este es el tipo de realizacin
fsica del lazo de corriente que utiliza el circuito integrado UC 3854 de Unitrode.

De hecho el control de un ciclo, presenta como gran ventaja frente al control por
multiplicador, su simplicidad de implementacin, ya que solo es necesario un solo
lazo de control. Para este trabajo, el control por multiplicador no es el indicado,
puesto que el mismo necesita hacer operaciones complicadas las cuales
demandan un cierto tiempo. Del punto de vista del costo y flexibilidad, el control de
un ciclo tambin representa una opcin ventajosa frente al control por
multiplicador; por estas razones, para este trabajo se decide trabajar con el
control de un ciclo

Ya teniendo definido cul va a ser la topologa de conversor a usar y la tcnica de


control apropiada para la correccin del factor de potencia y eliminacin de
armnicas, se pasa ahora a estudiar controladores de AC.

41

2. CONTROLADORES DE AC

Si se conecta un tiristor entre la fuente de AC y la carga, se puede controlar el flujo


de potencia haciendo variar el valor rms del voltaje de AC aplicado a la carga; a
este tipo de circuito que permite regular la potencia entregada a la carga, se le
llama controlador de AC [11]. El TRIAC permite el flujo de corriente en ambas
direcciones, es poco costoso y ms lento que los tiristores de conmutacin rpida
debido a que solo puede trabajar hasta 400Hz, para aplicaciones en conversores
que suelen ser de alta frecuencia, se usan transistores de potencia, los cuales
permiten un rango de frecuencias de hasta 200KHz [11]. Dado que el transistor es
un componente unidireccional, ser necesario disponer dos transistores protegidos
por dos diodos contra tensin inversa o un transistor asociado a un puente
rectificador de cuatro diodos; este tipo de configuraciones suelen llamarse
interruptores bidireccionales y tienen su aplicacin en conversores AC/AC directos
que se estudian en el captulo 3. A continuacin se explican las diferentes
configuraciones existentes de interruptores bidireccionales.

2.1 INTERRUPTORES BIDIRECCIONALES

En los conversores AC/AC, la conmutacin de los interruptores deja un flujo de


corriente en ambas direcciones en estado ON, y sostiene voltaje positivo o
negativo en estado OFF. Sin embargo, dado que el transistor es un componente
unidireccional y debido a que los interruptores bidireccionales an no se
encuentran disponibles como un dispositivo en el mercado, esto obliga a construir
un dispositivo bidireccional (tambin llamado interruptor de cuatro cuadrantes)
tanto para voltaje como para corriente. Esta condicin conlleva al diseo de varias
estructuras compuestas por IGBTs (de sus siglas en ingls insulated gate bipolar
transistors) y diodos.

2.2 ESTRUCTURA DE INTERRUPTORES BIDIRECCIONALES

A continuacin se muestran las estructuras de interruptores bidireccionales; el


smbolo es mostrado en la figura 16(a) donde se especifica el sentido del voltaje y
la corriente.

El interruptor mostrado en la figura 16(b) solo usa un IGBT, por lo tanto es fcil de
controlar lo que lo hace un interruptor bidireccional real pero dificulta la
conmutacin bajo condiciones de carga inductiva, a esto se le suma una

42

considerable cada de voltaje, equivalente a la cada en 2 diodos ms la del


interruptor.

Figura 16.
IGBTs

Topologas de interruptores bidireccionales con diodos Si y

(a) Smbolo elctrico

(b) Interruptor tipo puente

(c) Interruptor en

(d) Interruptor en anti serie

Anti paralelo
Fuente: IDIR N, FRANCHAU J, and BAUSIERE. Active Gate Voltage Control of

Bidirectional Switches used in AC AC Converters. Power Electronics Specialists


Conference, 2007 PESC 2007. IEEE. 17 21 June 2007. p 691.
.
La estructura anti serie de la figura 16(d) es fcil de implementar debido a que solo
necesita un circuito de control para los dos transistores, mientras que la estructura
en anti paralelo de la figura 16(c) necesita circuitos de control independientes lo
que lo hace ms complejo y ms costoso; sin embargo, el anti serie no resuelve
todos los problemas del control de conmutacin al considerar un doble interruptor
bidireccional. Si el interruptor superior se pone en ON, el interruptor inferior debe
ser controlado con el fin de evitar sobre voltaje o sobre corriente mientras este
vuelve al estado OFF. Esto necesita circuitos de control complejos y son limitados
por la operacin a baja frecuencia.
43

Recientemente, con los interruptores de carburo de silicio (SiC) se han venido


estudiando aplicaciones teniendo en cuenta el rendimiento de estos nuevos
dispositivos. Otra posible estructura es la construccin de interruptores
bidireccionales IGBTs con bloqueo inverso (RB-IGBT) que recientemente se
vienen desarrollando y estudiando su desempeo en conversores AC/AC [5].
Otras dos nuevas topologas son mostradas en la figura 17.

Figura 17. Estructura de interruptores bidireccionales.


(a) Interruptor bidireccional con diodos
SiC y Si IGBTs

(b) Interruptor bidireccional con


bloqueo inverso. (RB-IGBT)

Fuente: BLAND M. and WHEELER P. Comparison of Bi-directional Switch

Components for Direct AC-AC Converters. 35th Annual IEEE Power Electronics
Specialists Conference. 2004. P, 2905.

2.3 FORMAS DE ONDA DE LOS


INTERRUPTORES BIDIRECCIONALES

ESTADOS

ON

OFF

PARA

Las formas de onda de los estados ON y OFF para interruptores bidireccionales


son mostradas y explicadas a continuacin.

2.3.1 ESTADO ON: Las formas de onda mostradas en la figura 18, muestran la
corriente y el voltaje para un interruptor bidireccional durante una conmutacin en
periodo en ON. En la figura 18(a), el pico de corriente ms alto se presenta cerca
a los 300ns debido a la recuperacin inversa de la salida del diodo. Comparando
las figuras 18(a) y 18(b), se puede apreciar que el pico de corriente es ms
pequeo para el diodo de SiC; es claro que hay alguna corriente inversa por el
diodo de SiC durante el periodo en ON del IGBT, esto se debe al alto dv/dt (~
6kV/us) provocando un flujo de corriente parsita por la capacitancia existente en
la salida del interruptor. Comparando las figuras 18(a) y 18(c) (Observando que
estn a diferente escala la onda de corriente) se puede observar que el pico de
44

corriente inversa para el RB-IGBT es dos veces mayor que la del diodo de Si, la
alta corriente inversa causa significativas perdidas en la entrada y la salida durante
la conmutacin en estado ON.

Figura 18. Corriente y voltaje en interruptores bidireccionales durante el


periodo en ON de el IGBT (Las formas de onda pertenecen a la entrada del
interruptor).

(a)

Formas de onda del interruptor de la figura 16(c)

(b)
Formas de onda para el interruptor construido con los diodos
Schottky SiC y Si IGBTs de la figura 17(a)

45

(c)
Formas de onda del interruptor construido con IGBTs en bloqueo
inverso de la figura 17(b)

Fuente: BLAND M. and WHEELER P. Comparison of Bi-directional Switch

Components for Direct AC-AC Converters. 35th Annual IEEE Power Electronics
Specialists Conference. 2004. P, 2905.
2.3.2 ESTADO OFF: La figura 19 muestra la corriente y el voltaje para los
interruptores bidireccionales de la figura 16(c), 17(a) y 17(b), durante el periodo
OFF de la conmutacin. Se puede observar que el voltaje de solapamiento es ms
pequeo, indicando que la inductancia parsita de la conmutacin es pequea lo
que da como resultado con una corriente y un voltaje constante que es lo que se
esperara para este estado.

Figura 19. Corriente y voltaje para interruptores bidireccionales para el


periodo de conmutacin en OFF. (Las formas de onda pertenecen a la salida
del interruptor)

(a)

Formas de onda del interruptor de la figura 16(c)

46

(b)
Formas de onda para el interruptor construido con los diodos
Schottky SiC y Si IGBTs de la figura 17(a)

(c)
Formas de onda del interruptor construido con IGBTs en bloqueo
inverso de la figura 17(b)

Fuente: BLAND M. and WHEELER P. Comparison of Bi-directional Switch

Components for Direct AC-AC Converters. 35th Annual IEEE Power Electronics
Specialists Conference. 2004. P, 2905.

Entre las ventajas de dichas configuraciones se encuentra su rpida operacin ya


que la correccin en su ciclo de disparo depende de la frecuencia de conmutacin
del dispositivo. Sin embargo la potencialidad de los dispositivos interruptores de
AC se muestra en los sistemas de conversin de voltaje y control de potencia
donde ste se incluya. Como desventaja se tiene las prdidas inherentes al
proceso de conmutacin y el nmero de elementos para la configuracin de un
solo interruptor. Las ventajas y desventajas de los dispositivos se resumen en la
tabla 3.

47

Tabla 3. Ventajas y desventajas de las diferentes configuraciones de


interruptores bidireccionales

Configuracin

Ventajas

Desventajas

Tensin de saturacin baja


Fcil control con cargas RL
Anti-paralelo

Anti-serie
o
emisor comn

En puente

Diodos anti-paralelo emisorcolector no aprovechados


No emisor comn para
control
Tensin de saturacin baja
Control
dispendioso
con
cargas RL cuando trabaja
Aprovecha diodos en anticomo interruptor de rueda
paralelo
libre
Emisor comn para control
Econmico para implementar Diodo en anti-paralelo no
aprovechado
Pocas perdidas por
conmutacin (un solo
Tensin de saturacin baja
elemento a conmutar)
Muchos elementos en la ruta
Fcil control.
de la corriente

Las caractersticas de estos interruptores son muy necesarias en variedad de


aplicaciones, como fuentes de corriente con inversores resonantes, fuentes de
voltaje con inversores de margen resonante y conversores de matriz resonante
conmutando a alta frecuencia. Algunas ventajas que se buscan en estos
interruptores son: bajo costo, reduccin de voltaje en estado ON y alta fiabilidad
[5].

2.4 ELECCION DE LA ESTRUCTURA DE INTERRUPTOR A USAR

En general, existen 3 tipos de configuraciones que se pueden lograr para formar


un interruptor bidireccional que son: anti-paralelo, anti-serie y en puente; de las
tres, la configuracin en puente es la que mejor se comporta debido a que solo
necesita de un interruptor bidireccional, lo cual resulta ser ms econmico y
adems, facilita el control y la implementacin comparado con la configuracin en
anti-serie y anti-paralelo; la desventaja es que posee ms elementos en la ruta de
la corriente lo cual repercute en cada de tensin, pero esto no es relevante
comparado con su fcil control por el uso de un solo mosfet. Es por estos motivos
que se escoge la configuracin en puente para esta tesis.

48

3. ESTUDIO DE ALGUNAS TOPOLOGIAS AC/AC EXISTENTES

3.1 TOPOLOGIA AC/AC BASADA EN CONVERSOR BUCK [27]

Con el incremento del uso de los MOSFETs y transistores de compuerta aislada


usados en la electrnica de potencia, una nueva generacin de troceadores de
voltaje AC para cargas inductivas deber ser propuesta. Los nuevos
semiconductores de potencia, facilitan el trabajo de corregir el factor de potencia
comparado con los mtodos antiguos con tiristores, inclusive con cargas altamente
inductivas. Los controladores para tiristores, pueden ser remplazados por
controladores de voltaje AC con tcnicas de modulacin como PWM que tiene
muchsimas ms ventajas comparada con las tcnicas antiguas. A continuacin,
en la figura 20 se presenta un Troceador de voltaje con un nmero reducido de
interruptores que ser operado con un PWM simtrico diseado para sistema
monofsico con carga inductiva.

3.1.1 Principio de operacin. El circuito de potencia est compuesto de un


interruptor S troceador de voltaje AC atravesando un puente rectificador de diodos
conectado en serie con la carga y dos interruptores S1 y S2 con diodos de rueda
libre conectados en paralelo con la carga. El interruptor S es usado para conectar
y desconectar la carga de la fuente de alimentacin regulando la potencia
entregada a la carga. Los interruptores S1 y S2 son usados para darle un camino
a la corriente y descargar la energa almacenada en la carga con la inductancia
cuando el interruptor S est apagado.

El patrn de conmutacin de los interruptores lo define la polaridad del voltaje de


la fuente y la corriente en la carga, con el fin de proporcionar siempre un camino
para la corriente de la carga, independientemente de su polaridad. La tabla 4
muestra como es la secuencia de conmutacin para cada interruptor.

49

Figura 20. Troceador de voltaje AC con 3 interruptores.

Fuente: AHMED, K. and AMEI, Sakui. A New Configuration of Single-Phase


Symmetrical PWM AC Chopper Voltage Controller. IEEE transactions on industrial
electronics, vol. 46, no. 5, 1999. P, 942.

Tabla 4. Secuencia de conmutacin de interruptores.

Fuente: AHMED, K. and AMEI, Sakui. A New Configuration of Single-Phase


Symmetrical PWM AC Chopper Voltage Controller. IEEE transactions on industrial
electronics, vol. 46, no. 5, 1999. P, 944.

El interruptor S es siempre conmutado con un ciclo til constante. Cuando el


voltaje de alimentacin y la corriente en la carga tienen la misma polaridad, es
cuando los interruptores S1 y S2 entran a funcionar, uno se cierra mientras el otro
se abre de acuerdo a la polaridad de la fuente de alimentacin, en otras palabras,
cuando la fuente y la corriente en la carga son positivas, S2 se cierra mientras
que S1 se abre y viceversa. Pero este no es el caso cuando el voltaje y la
50

corriente estn con diferente polaridad, en donde los interruptores en paralelo que
se encuentran abiertos son cerrados por el interruptor S impidiendo la conduccin
continua. Solo un interruptor es modulado y debido a que un solo interruptor esta
activo durante medio periodo de la seal de alimentacin, las prdidas por
conmutacin son significativamente reducidas y en consecuencia se obtiene alta
eficiencia.

El circuito tiene dos modos de operacin. Cuando el interruptor S est abierto es


un modo y cuando est cerrado es el otro modo. En el modo del interruptor
cerrado, la corriente en el inductor es forzada por el flujo de la fuente de voltaje
durante la modulacin del interruptor S en los periodos activos. El otro modo de
operacin es definido cuando el interruptor S est abierto y la corriente en el
inductor es formada por la direccin de la corriente de carga; en este caso, la
corriente en la carga decae por S2 con ayuda del diodo en S1 o decae por S1 con
ayuda del diodo en S2 de acuerdo a la polaridad de la corriente en la carga. En la
figura 21 se aprecia de forma grafica, como es la secuencia de conmutacin.

Figura 21. Secuencia de conmutacin de interruptores dependiendo de la


polaridad de el voltaje de la fuente y la corriente en la carga.

Fuente: AHMED, K. and AMEI, Sakui. A New Configuration of Single-Phase


Symmetrical PWM AC Chopper Voltage Controller. IEEE transactions on industrial
electronics, vol. 46, no. 5, 1999. P, 943.

3.1.2 Simulacin de conversor AC/AC basado en topologa BUCK. A


continuacin se muestra la simulacin y resultados de este conversor AC/AC. El
circuito de control de interruptores es mostrado en la figura 24.

51

Figura 22. Simulacin conversor AC/AC tipo buck.


IL

S
D27
Dbreak

D28
Dbreak

+
-

+
-

S3
D31
Dbreak

0
L2
1

S
R6
1k

D30
Dbreak

V5
VOFF = 0
VAMPL = 170
FREQ = 60Hz

D29
Dbreak

S1

C1
1u

+
-

D32
Dbreak

S
C2
1u

R7
I
30

C3
40u

S2
D33
Dbreak

2
0.5mH

S1

+
-

R8
10
1
L1
23mH

S2

S
2

Vs

Figura 23. Resultados de la simulacin.

(a)

(b)
En la grafica 23(a), la parte superior muestra los voltajes de entrada y salida. La
entrada es 170 Vac y la salida 120Vac; se aprecia claramente como en la salida
se reduce el voltaje de acuerdo con la ecuacin Vo = Vin.D deducida en [27].
Abajo se muestra la forma de corriente en la entrada la cual est muy
52

distorsionada debido a los armnicos inherentes a la conmutacin y al ruido EMI


que se explica en anexo A. Para ver ms claramente la corriente, se hizo un zoom
el cual se muestra en la figura 23(b) en donde se ve que la fundamental es de
10A.

Figura 24. Esquema para el control de interruptores propuesto en [27] para


este conversor.
+VCC

PARAMETERS:
V11

Fc = 10KHz
D = 0.7

V12

V1 = 5
V2 = 0
TD = {D*(1/Fc)}
TR = 1ns
TF = 1ns
PW = {(1-D)*1/Fc}
PER = {(1/Fc)+2ns}

U8A
74AC04

0
2

15Vdc

V2

15Vdc

U13A

Dbreak

2k

74AC08
U8B
R3
1k

R12
100

100

U14B

940

4
74AC04

LM358

R11
100

R13
R9
100

V-

74AC32
74AC08

OUT

R5
470

U12B

V+

R4

Dbreak

V-

LM358

D35

OUT
2

U15A
3

V+

U13B
+VCC

U11A
IL

R10

4
74AC04

-VCC
+VCC

100

0
0

-VCC

S2

74AC32

V-

LM358

R2

D34

OUT
2

1
2

V+

U7A
3

Vs

U14A

+VCC
-VCC

-VCC

Todos los valores de simulacin fueron tomados de [27] en donde explican el


modelo, las ecuaciones que describen el funcionamiento del conversor y el clculo
del filtro LC de salida. (1.1KVA, 110V, 10A, 60Hz, Lo = 23mH, Ro = 10 ohms, fs =
10KHz, L = 0.5mH, C = 40uf, THDiL < 025% y THDV < 1%).
3.2 TOPOLOGIA AC/AC BASADA EN CONVERSOR BOOST

Esta topologa es mostrada en la figura 25. El circuito est compuesto por el


inductor boost en serie con una carga RL. Entre la carga y el inductor se

53

S1

encuentran dos interruptores bidireccionales en configuracin tipo puente, S1 y


S2. S1 est puesto en paralelo con la carga y S2 en serie con la misma.

3.2.1 Principio de operacin. Cuando el interruptor S1 conduce, se transfiere


energa de la fuente de entrada Vi al inductor Li donde es almacenada y cuando el
interruptor S1 no conduce, la energa almacenada en Li junto con la proveniente
de Vi se transfiere a la salida pasando por el interruptor S2 que acta en sentido
negado a S1. El interruptor S1 cumple la funcin de hacer cargar y descargar la
energa del inductor Li hacia la carga, mientras que el interruptor S2 es el
encargado de conectar y desconectar la carga de la fuente controlando la
potencia de AC entregada a la carga.

Figura 25. Esquema de conversor AC/AC basado con topologa boost.

3.2.2 Simulacin de conversor AC/AC basado en topologa BOOST.

Para escoger los valores de los elementos, se utilizan las mismas ecuaciones del
un BOOST como si fuera DC/DC. Estas las tomamos del captulo 1.4.2.

Como un ejemplo, vamos a asumir que se tiene una fuente de 120Vrms y se


quiere un voltaje de salida Vo de 200Vrms con una potencia de 800W, frecuencia
de conmutacin de 10KHz.

A continuacin se muestra la simulacin en PSPICE con los valores calculados


para el ejemplo del BOOST en AC.

54

Figura 26. Simulacin de conversor AC/AC tipo BOOST.


1-V(%IN)
D5
Dbreak

SH

D6
Dbreak

S
S2
SH

Vt

+
-

S
V1 = 1
V2 = 0
TD = {D*(1/Fc)}
TR = 1ns
TF = 1ns
PW = {(1-D)*1/Fc}
PER = {(1/Fc)+2ns}

0 VON = 1.0V

R3
0.4

VOFF = 0.0V
D7
D8
Dbreak
Dbreak

V3

R2

D2
Dbreak

L1
1

0.01

1mH

V1
V

D1
Dbreak

S1
+
-

D3
Dbreak

VOFF = 0
VAMPL = {Vm}
FREQ = 60

R5
I
50

C1
50u

S
VON = 1.0V
VOFF = 0.0V

D4
Dbreak

PARAMETERS:

RL = 50
Vm = 120
Fc = 10k
D = 0.4

Los valores de las resistencias en serie con L1 y C1, son puestos para simular la
resistencia interna de cada uno de estos componentes. Por lo general, son valores
muy pequeos, por eso se escogieron R2 = 0.01 ohmios y R3 = 0.4 ohmios. La
conmutacin de interruptores se simula con un tren de pulsos para S1 y el mismo
tren de pulsos pero en complemento, para S2.

Figura 27. Resultados de la simulacin. (a) Voltajes de entrada y salida del


conversor. (b) Corriente en la carga.
200V

100V

(a)
0V

-100V

-200V
0s
V(V1:+)

5ms
V(VT)

10ms

15ms

20ms

25ms

30ms

35ms

40ms

45ms

50ms

55ms

60ms

Time

4.0A

2.0A

(b)
0A

-2.0A

-4.0A
0s

5ms

10ms

15ms

20ms

25ms

30ms

-I(R5)
Time

55

35ms

40ms

45ms

50ms

55ms

60ms

En la figura 27(a), el trazo verde pertenece al voltaje de entrada (120V) y el trazo


color rojo pertenece al voltaje de salida; se aprecia claramente que el voltaje es
amplificado hasta 190V aproximadamente que es muy cercano al valor que se
haba calculado de 200V; esta reduccin de voltaje se debe a que algunas
componentes se alcanzan a ir por la red del condensador; son perdidas inherentes
a la conmutacin, adems, se le suman las perdidas por la presencia de diodos,
cada diodo reduce 0.7V y conducen de a dos a la vez. En la figura 27(b) se
aprecia la corriente en la carga que es de 4A como se haba esperado para
obtener una potencia de 800W a la salida.

56

4.

DISEO DE CONVERSOR AC/AC BASADO EN TOPOLOGIA


BOOST

A continuacin se procede a realizar el diseo de un conversor ac/ac de tipo boost


ya que fue la topologa escogida de acuerdo al captulo 1. Esta topologa es
mostrada en la figura 25. El circuito est compuesto por el inductor boost en serie
con una carga RL. Entre la carga y el inductor se encuentran dos interruptores
bidireccionales en configuracin tipo puente, S1 y S2. S1 est puesto en paralelo
con la carga y S2 en serie con la misma. El principio de operacin se explica en el
capitulo 3.2.1. Para esta tesis se quiere realizar el conversor boost con los
siguientes parmetros:
Vin = 120Vac (rms) = 170Vpico
Vout = 226Vac (rms) = 320Vpico
Pout = 1200W
Iout = 4A
Fc = 25 KHz
Con estos parmetros, se disea el conversor. Ver anexo E.
La simulacin y grficas se muestran a continuacin.

Figura 28. Diagrama esquemtico del diseo de conversor AC/AC tipo


BOOST.
1-V(%IN)
D5
Dbreak

SH

D6
Dbreak

S
S2
SH

Vt

+
-

R5
75

S
V1 = 1
V2 = 0
TD = {D*(1/Fc)}
TR = 1ns
TF = 1ns
PW = {(1-D)*1/Fc}
PER = {(1/Fc)+2ns}

0 VON = 1.0V

R3
0.4

VOFF = 0.0V
D7
D8
Dbreak
Dbreak

V3

C1
10u
R2

D2
Dbreak

L1
1

0.01

2mH

D3
Dbreak

V1
VOFF = 0
VAMPL = {Vm}
FREQ = 60

S
VON = 1.0V
VOFF = 0.0V

0
D4
Dbreak

PARAMETERS:
RL = 75
Vm = 170
Fc = 25k
D = 0.43
Cf = 889u

57

L2
20mH

D1
Dbreak

S1
+
-

EL voltaje de salida es aproximadamente 320V como se aprecia en la figura 29, la


corriente en el inductor es 7 A aproximadamente como se aprecia en la figura 30 y
en la figura 31 la corriente en la carga es 4 A. La simulacin coincide con los datos
calculados.

Figura 29. Voltaje de salida.

Figura 30. Corriente en el inductor serie.

Figura 31. Corriente de salida.

En la figura 30 se aprecia un rizado indeseable en la corriente de entrada el cual


se puede corregir con el diseo de un filtro EMI que se explica muy bien en las
referencias [13] y [30], la simulacion se muestra en la figura 32 y 33.

58

Figura 32.Conversor AC/AC tipo BOOST con filtro EMI.

Figura 33.Forma de onda de la corriente de entrada.

Comparando la figura 30 y la figura 33 se aprecia claramente la accin del filtro


EMI el cual hace que la corriente de entrada sea muy limpia y por tanto, mejora el
rendimiento del sistema.

Ahora, para introducir un sistema altamente no lineal se opta por colocar un


puente rectificador como carga y transformador de paso para aislar la carga de la
fuente de alimentacin y para acoplar impedancias y poder que haya mxima
transferencia de potencia de la fuente hacia la carga; adems, el transformador de
aislamiento, reduce el ruido EMI, da una proteccin contra armnicas, sags de
voltaje, sobre corrientes y altas frecuencias. La impedancia del transformador
debe ser lo ms pequea posible (al menos 10 veces menor que la impedancia de
carga) con el fin de minimizar las prdidas [34]. El diagrama del conversor con
59

puente rectificador se muestra en la figura 34(a). El diseo del rectificador, se


toma de la pgina 76 del libro de RASHID [26]. El diseo del transformador se
encuentra en el anexo D.

4.1 DISEO DEL CIRCUITO RECTIFICADOR.

El circuito diseado tiene un voltaje pico de 320V y se desea un voltaje de salida


de 300V y una potencia de 1200W, por lo tanto se requiere una resistencia de
75; partiendo de esto, podemos despejar el valor del condensador despejndolo
de la ecuacin 8 del anexo B.
Ce

1
1

889 uf
300
Vcd

1
4 fR 1
4(60 )( 75 )
Vm
320

Este es el valor mnimo de capacitancia para garantizar los 300V. El rizado lo


podemos hallar usando la ecuacin 10 del anexo B.
RF

2 (4 fRCe 1)

1
0.05 5%
2 (4(60 Hz )(75)(889uf ) 1)

Un rizado de 5% equivale a una oscilacin de 15 V en la salida, lo cual es un poco


inaceptable; entre ms constante sea el voltaje, ser mucho mejor, por esta razn
debe escogerse una capacitancia alta con el fin de eliminar al mximo este rizado.
Se escoge entonces un capacitor de 4700uf. Con este valor se calcula el nuevo
rizado usando nuevamente la ecuacin 10:
RF

2 (4 fRCe 1)

1
0.008 0.8%
2 (4(60 Hz )(75)(4700uf ) 1)

Con un rizado de 0.8% se obtiene una oscilacin aproximada en la salida de 2V, lo


cual es bastante aceptable para el diseo. En la figura 34 se muestra la simulacin
del rectificador diseado.

60

Figura 34. Simulacin de un rectificador en puente con filtro C. (a) Diagrama


del circuito diseado. (b) Voltaje de salida. (c) Corriente de salida.

(a)
400V

300V

200V

100V

0V
0s

5ms

10ms

15ms

20ms

25ms

30ms

35ms

40ms

45ms

50ms

55ms

60ms

V(R9:2)
Time

(b)
6.0A

4.0A

2.0A

0A
0s

5ms

10ms

15ms

20ms

25ms

30ms

35ms

40ms

45ms

50ms

55ms

60ms

-I(R9)
Time

(c)
Como se aprecia en las graficas 34(b) y 34(c), se obtiene el voltaje y la corriente
deseada para obtener una potencia de 1200W. Ahora se procede a hacerle un

61

anlisis paramtrico al rectificador diseado para calcular el factor de potencia y


los armnicos que induce a la red de alimentacin.
.
4.2 Anlisis paramtrico del conversor con carga no lineal. A continuacin se
realiza un anlisis paramtrico de la topologa propuesta, basndose en el
procedimiento del libro de Emilio Figueres [11]. La tensin de salida del rectificador
se muestra en la figura 35. La tensin de rizado (Vopp) en estas condiciones de
carga tiene un valor de 2.4 V. Para investigar cmo afecta el rizado el consumo de
corriente en la salida, efectuaremos un anlisis para mtrico sobre la resistencia
de carga, con valores de 1 a 100.

Los resultados del anlisis se muestran en la figura 36 quedando en manifiesto


que el rizado disminuye significativamente a medida que lo hace el consumo de
corriente, ya que el condensador se encuentra menos solicitado y se descarga
menos.

Figura 35. Tensin de salida del rectificador.


400V

300V

200V

100V

0V
0s

5ms

10ms

15ms

20ms

25ms

30ms

35ms

40ms

45ms

50ms

55ms

60ms

V(R9:2)
Time

Figura 36. Evolucin del rizado de la tensin de salida con el consumo.

62

De estas grficas tambin se deduce que el valor medio de la tensin disponible


en la salida, disminuye a medida que el consumo aumenta. Para cuantificar esta
variacin, se hace uso del comando AVG de Probe en PSPICE y se obtienen los
resultados de la figura 37.

Figura 37. Valor medio de la tensin rectificada y filtrada en funcin del


consumo.

Por otra parte, la corriente de entrada del rectificador presenta la siguiente forma
de onda.

Figura 38. Tensin y corriente de entrada del rectificador.

De la figura 38, se puede decir lo siguiente:

La corriente de entrada del rectificador est prcticamente en fase con la


tensin de entrada por lo que el factor de potencia de desplazamiento (DPF) ser
cercano a la unidad. (El DPF viene determinado por el ngulo de desfase entre la
tensin de red y la componente fundamental de la corriente).
DPF COS

63

El factor de potencia de desplazamiento solo nos dice que tanto est desfasada la
corriente del voltaje, el factor de potencia total depende tambin de la distorsin
armnica total como se muestra en la ecuacin 21.

La forma de onda de la corriente presenta una distorsin apreciable a


simple vista, lo que hace prever que el factor de potencia global (que depende no
solo del desfase entre la tensin y la corriente, sino tambin del contenido en
armnicos que se inyectan en la red) ser significativamente inferior a la unidad.
Para cuantificar las observaciones precedentes y determinar el factor de potencia
global del rectificador en las condiciones de carga iniciales (RL = 75), pueden
utilizarse los resultados del anlisis de Fourier efectuado por PSPICE. Estos
resultados aparecen en forma de tabla en el fichero de salida (.out) generado por
el programa. Los resultados obtenidos son:

Figura 39. Resultados del anlisis de Fourier sobre la corriente de entrada.

En sta tabla se recogen los coeficientes de Fourier que responden a la siguiente


expresin:

64

iLr (t ) C0 Cn sen(nwt n )

(20)

n 1

Siendo Co la componente de continua de la forma de onda analizada, Cn la


amplitud del armnico de orden n y n es la fase correspondiente respecto a la
referencia (en este caso, el origen de fases viene determinado por la tensin de
red). De este anlisis se desprende que la distorsin armnica total de la corriente
(THDi) es el 160%; el ngulo de desfase entre la tensin de red y la componente
fundamental de la corriente es 1 = 48o lo que resulta un factor de potencia de
desplazamiento de valor:
DPF cos cos 48o 0.67
En definitiva, el factor de potencia global se calcula mediante la siguiente
expresin sacada del libro de Emilio Figueres [11]:

FP

DPF
1 THDi2

0.67
1 1,62

0.355

(21)

Es un factor de potencia muy bajo, lo que significa que absorbe una cantidad
significativa de potencia de la red. Sin embargo, el responsable de la potencia
reactiva que se absorbe no es el desfase entre la tensin y la corriente como
ocurre con formas de onda perfectamente sinusoidales, pues se ha visto que este
desfase es prcticamente nulo. En un rectificador no controlado, al igual que en
otros receptores fuertemente no lineales, la responsabilidad de la potencia reactiva
que se absorbe, recae sobre la significativa distorsin de la corriente respecto a
una forma de onda perfectamente sinusoidal.

Se demuestra que solamente produce potencia activa la componente fundamental


de la corriente, por lo que cualquier distorsin respecto a una forma de onda
sinusoidal pura, incrementa el valor eficaz, disminuyendo la potencia til que
puede transportar la red de alimentacin. Los resultados del anlisis de Fourier
permiten dibujar en Probe la componente fundamental de la corriente de entrada y
la corriente de distorsin, definida como la diferencia entre la forma de onda real y
la correspondiente a la componente fundamental. Para ello, utilizando los
operadores de Probe de Pspice se introduce la siguiente expresin:
i1 8,01 . sin( 377 .time 0 .837 )

65

(22)

Donde el valor de 1 debe introducirse en radianes y no en grados como aparece


en la tabla 5.
La figura 40, muestra de forma grafica la distorsin armnica de la corriente de
entrada del rectificador respecto a su componente fundamental.

Para investigar qu influencia tiene el consumo de corriente en la carga sobre el


factor de potencia del rectificador, se va a efectuar un barrido paramtrico
tomando como variable la resistencia de carga. Los resultados que proporciona
Pspice se recogen en la siguiente tabla 5.

Figura 40. Distorsin de la corriente de entrada.

Tabla 5. Factor de potencia del rectificador en funcin del consumo.


Rcarga
1
10
50
75
100

1
0.85
1.08
0.87
0.83
0.80

DPF
0.65
0.47
0.64
0.66
0.69

THDi (%)
11
82
144
160
172

FP
0.646
0.356
0.345
0.349
0.330

De los resultados obtenidos se desprende que el factor de potencia de


desplazamiento permanece prcticamente invariable para cualquier rgimen de
carga. El factor de potencia global, por el contrario, es mayor cuanto menor sea la
resistencia de carga, es decir, cuanto mayor sea la potencia que el rectificador
suministra a la carga.

66

4.3 MODELAMIENTO MATEMATICO DE CONVERSOR AC/AC BASADO EN


TOPOLOGIA BOOST

Debido a que la tensin de entrada es sinusoidal, esta es variable en el tiempo, al


realizar el modelo se obtendr coeficientes en la matriz de estados variables en el
tiempo, es decir, que se tendr un modelo no lineal del conversor AC/AC. Para
evitar los coeficientes no lineales, se trabaja entonces con un valor caracterstico
de la seal de entrada; este puede ser su valor RMS, o su valor mximo, los
cuales son constantes en el tiempo. Para sta tesis se utiliza la transformacin DQ
monofsica, con el propsito de trabajar con el valor mximo de la tensin de
entrada, esta transformacin se explica en el anexo G.

4.3.1 Consideraciones del modelo. Para hallar el modelo matemtico del


conversor, se tienen en cuenta las siguientes consideraciones.

El conversor BOOST trabaja en modo de conduccin continuo.

No hay prdidas de potencia.

Se consideran las resistencias de la inductancia y capacitor.

Los interruptores y semiconductores son ideales.

No hay cada de voltaje.

Para hacer el modelo, el voltaje en la entrada se asume constante y se


trabaja con el voltaje mximo de la seal de AC.

4.3.2 Modelo matemtico. Para efecto de anlisis y para hacer lo ms real


posible el modelo, se toma en cuenta la resistencia interna del inductor y del
condensador; la accin del interruptor se analiza en funcin del tiempo como se
muestra en la figura 41.
El circuito funciona con dos interruptores bidireccionales, el que est en paralelo
con la carga llamado q(t) y el que est en serie que funciona en complemento con
el otro; el anlisis matemtico se hace con el principal q(t), ya que ste es el que
controla la energa entregada a la carga, mientras que el otro que est en serie,
solo sirve de paso para tensin y corriente AC.

67

Figura 41. Esquema de conversor AC/AC con resistencias internas para


anlisis matemtico.

iL ic ir
Vo Vc ic Rc

(28)

Vo Vc (i L ir ) Rc

(29)

Con interruptor cerrado q = 1:


Vi L

diL
i L RL
dt

dVc
Vo

dt
Rr

dVc
Vo
iL
dt
Rr

(30)

Con interruptor abierto q = 0:


Vi Vo L

di L
iL RL
dt

(31)

La ecuacin de salida es
Vo (t ) ir Rr Lr

di r
dt

(32)
Combinando las ecuaciones 30 y 31 y reescribiendo la ecuacin 32, resulta un
sistema de 3 ecuaciones:
L

di L
1
R
1
(1 q (t )) Vo (t ) L iL (t ) Vi
dt
L
L
L

(33)

dVC
V (t )
iL (1 q (t )) o
dt
Rr

(34)

di r
di
ir Rr Lr r
dt
dt

(35)

Reescribiendo las ecuaciones tenemos que


dVC
1
1
1
iL (t ) iL (t ) d (t )
V o(t )
dt
C
C
Rr C

68

(36)

diL
R
1
1
1
Vo (t ) Vo (t )d (t ) L iL (t ) Vi
dt
L
L
L
L

(37)

di r Vo (t ) Rr

ir
dt
Lr
Lr

(38)

Remplazando el valor de Vo en 36, 37 y 38, resultan 3 nuevas ecuaciones:


R
V
Rc RL
di L
1
Rc
1
Rc
VC (t )
i L (t )
ir (t ) VC (t )d (t )
i L (t )d (t ) C ir (t )d (t ) i
dt
L
L
L
L
L
L
L
dVC
1
Rc
Rc
1
1

VC (t )
i L (t )
i r i L (t ) i L (t )d (t )
dt
RrC
RrC
RrC
C
C

(39)

(40)
(41)

R
( R Rr )
di r
1
VC (t ) c i L (t ) c
ir (t )
dt
Lr
Lr
Lr

Remplazando se tiene que


di L
dt

dVc
X 2 Vc........ X 2
dt

di
X 3 ir ......... X 3 r
dt

X 1 i L ........ X 1

En matrices de estado, despus

Rc RL

Rc
1

Rc 1 Rc
L
L
L
iL

L
L
RC 1 L
Vc Rc 1 1

0
0 d(t)
RrC C RrC
RrC C

0
0
ir Rc
(Rc Rr ) 0
1

Lr
Lr
Lr

iL 1L

Vc 0 Vi
i 0
r

Como se aprecia es un sistema no lineal, al sistema se le aplica el procedimiento


de linealizacin aproximada por series de Taylor (Ver anexo F) y se obtiene

69



Z1 E GU F(U 1) G(1 U)Z1 GK4 (U) FK3 (U) GK2 (U)
Z
U
Z J MU

K
L
MK
(
U
)
2
2
4

P
Q Z
0
Z3 N
3

La matriz de salida se obtiene de la ecuacin 29.

Z 1
y Rc 1 Rc Z 2
Z
3

70

5. ESTUDIO DE TECNICAS DE CONTROL APLICADAS A CONVERSORES


AC/AC

5.1 APLICACIN DEL CONTROL POR MULTIPLICADOR EN CONVERSOR


AC/AC BASADO EN TOPOLOGIA BOOST [39]

Una solucin alterna es propuesta; sistema condicionador de corriente de lnea


(ULCC). Este sistema funciona como una interface entre la fuente de alimentacin
y la carga rectificadora, como un computador. El sistema proporciona una
corriente sinusoidal en la fuente y regula el voltaje entregado a la carga. Esto es
basado en la modulacin de ancho de pulso en conversores. El conversor boost
AC AC es adaptado con un control de corriente para sistema monofsico, pero
esta vez con interruptores bidireccionales en configuracin antiparalelo y
adaptacin de una carga rectificadora para aplicar en equipos de procesamiento
de datos. El condicionador de lnea, tiene un control de corriente y control de
voltaje. En la figura 42 se muestra la configuracin propuesta.

Figura 42. Esquema de circuito de potencia con el condicionador de


corriente.

Fuente: CHATTERJEE, K and VENKATARAMANAN, G. Unity power factor single


phase AC line current conditioner. IEEE Industrial applications Society Annual
meeting. Roma, Italy, October 8 12, 2000.

5.1.1 Descripcin del circuito. El circuito condicionador de corriente presentado


en la figura 42, muestra el condicionador, la alimentacin y el rectificador en
funcin de carga. El rea encerrada (grande) es el condicionador de corriente,
mientras que el rea encerrada (pequea) es el dispositivo de procesamiento de
datos que para este caso, sera la carga. La inductancia Ls, se cuenta como
cualquier inductancia en serie, presente entre la salida del condicionador y la
71

carga. La inductancia L representa el inductor boost. Cf representa el filtro


capacitor interno en la carga, RL es la resistencia equivalente de toda la carga, El
capacitor Ci ubicado en la entrada suprime los componentes de alta frecuencia
presentes en la corriente del inductor IL. Co y L, forman un filtro de segundo orden
para eliminar los componentes de alta frecuencia producidos por la conmutacin
de corriente. Los valores de Co y L son seleccionados de forma que el filtro no
atene la componente de frecuencia de la corriente en la fuente de alimentacin.

Los interruptores S1 y S2 se complementan entre s; cuando S1 es cerrado, el


inductor boost aparece a travs del voltaje de entrada Vi y la corriente IL
incrementa en la misma direccin que Vin; esta corriente es forzada a que fluya
completamente por la carga cuando S1 es abierto y S2 est cerrado. Durante este
periodo, el inductor transfiere energa al capacitor de salida Co y la corriente en el
inductor IL decrece.
Para controlar adecuadamente el ciclo til de los
interruptores, la corriente en el inductor debe mantenerse en cualquier valor
deseado tanto tiempo como el equilibrio del voltaje en el inductor se mantenga; las
magnitudes del voltaje de entrada y de salida determinan el ciclo til instantneo.

El control del conversor boost es operado para que la corriente de entrada Iin sea
sinusoidal y proporcional al voltaje de entrada de modo que la carga parezca
puramente resistiva y por lo tanto, conseguir un alto factor de potencia. El
contraste de controlar la corriente de entrada y el ciclo til es que el voltaje de
salida Vo debe ser ms grande en magnitud que el valor instantneo del voltaje de
entrada; esta condicin es comn en la topologa de conversor boost. El ms
severo contraste es que el voltaje de salida Vo debe ser la misma seal que el
valor instantneo del voltaje de entrada. Las formas de onda simplificadas,
obtenidas del anlisis son mostradas en la figura 43. 360o representan un ciclo
completo de la forma de onda del voltaje de entrada.
Si el ciclo de control trabaja adecuadamente, Iin podra asumirse como una onda
seno en fase con Vin, como se muestra en la figura 43. La tercera forma de onda
es el promedio del ciclo til D(t) como se describe con D (t ) 1 K ! sen ( wt )!
[39]. La siguiente forma de onda es el valor promedio de la corriente conmutada Is
(t) y tiene el cuadrado de una forma de onda seno. La siguiente forma de onda es
Vo(t). Cuando el rectificador est conduciendo, este ser igual a Vdc.

Por facilidad, Vdc es asumido como constante. Para el primer 1/6 del ciclo, el
voltaje de salida cambia y el rectificador no conduce. De este modo arranca sin
que Vin cambie de polaridad; durante este tiempo Io(t) = 0. La corriente de entrada

72

carga el capacitor en la polaridad opuesta. Este modo termina cuando IVoI llega a
ser igual a Vdc. Io (t) es igual a Is (t) cuando el rectificador conduce.

Figura 43. Formas de onda simplificadas del conversor boost.

Fuente: CHATTERJEE, K and VENKATARAMANAN, G. Unity power factor single


phase AC line current conditioner. IEEE Industrial applications Society Annual
meeting. Roma, Italy, October 8 12, 2000.

Cuando la fuente de voltaje Vi y el voltaje de salida Vo estn en diferente


polaridad, entonces la ecuacin 22 del captulo 1:
Vin
Vo

1 D
Io
IL

1 D

no tiene una solucin viable. Esto pasa porque D est limitado entre cero y uno.
Entonces, durante este intervalo, el circuito pierde el control sobre la corriente del
inductor. Cuando el voltaje de entrada cambia de polaridad, el voltaje de salida
tambin cambiar su polaridad y el puente rectificador no conducir hasta que el
condensador Co se cargue con Vdc; esto se muestra en la figura 43 entre 180o y
210o. Sin embargo, desde el circuito de control tiene perdidas de control sobre la
corriente del inductor, ser resonante entre los inductores boost y el capacitor de
salida Co y esto decidir la corriente de entrada. Esto ser el resultado de grandes
picos de corriente en el inductor IL [39].

73

5.1.2 Regulador de corriente. El control de corriente promediada es una tcnica


usada para dar forma a la corriente. Este tipo de control es comnmente usado en
las diferentes topologas de conversores. En el control de corriente promedio, el
controlador no es el que genera directamente la seal de conmutacin; lo que
hace este es producir un valor promedio de la seal de conmutacin la cual es
usada para generar la modulacin de ancho de pulso aplicada a S1 y S2. El
diagrama de bloques del conocido regulador de corriente es mostrado en la figura
44. El ciclo de corriente est compuesto del conversor, el controlador, y la
retroalimentacin.

El conversor boost es un sistema no lineal con respecto al control de la variable


llamada ciclo til, pero en cada uno de los intervalos DT y (1-D)T, los circuitos
equivalentes son lineales.

Figura 44. Diagrama de bloques tpico del control de corriente promedio.

Fuente: CHATTERJEE, K and VENKATARAMANAN, G. Unity power factor single


phase AC line current conditioner. IEEE Industrial applications Society Annual
meeting. Roma, Italy, October 8 12, 2000.

Para obtener la descripcin equivalente del ciclo completo de la conmutacin, las


ecuaciones definidas son promediadas. De esto es posible derivar la funcin de
transferencia para pequeas perturbaciones alrededor de un punto de operacin.
Las tcnicas de promediado y anlisis dinmico de pequeas seales para
conversores en conmutacin son presentadas en artculos ya existentes;
presentan descripcin sistemtica de modelos de conversores DC DC. Sin
embargo, debemos recordar en los conversores AC AC el voltaje de entrada es
proporcionado por una fuente a 60 Hz, pero la frecuencia de conmutacin de los
conversores es de 50KHz, entonces, por encima de esto, algunos ciclos de
conmutacin, la entrada de voltaje puede ser considerada como constante; de
acuerdo a lo anterior, la funcin de trasferencia para el control de la corriente en el
inductor puede ser determinada como sigue [39]:

74

Gb ( s )

iin ( s )
Vdc

d ( s ) R (1 D ) 2

2 sCR
Le
1 s
s 2 LeC
R

(42)

Gb(s) es la funcin de transferencia del conversor representado en la figura 42.


Gcc es la funcin de transferencia del controlador de corriente lo cual es un
regulador PI. Vtp es el voltaje con el que el capacitor se carga en un determinado
tiempo. En esta aplicacin, la referencia de corriente es modulada con la
frecuencia de la alimentacin. La respuesta del ciclo de corriente debe ser lo
suficientemente rpida tal que la corriente de entrada siga la referencia con un
mnimo error. Los requerimientos de la ganancia a baja frecuencia para del ciclo
de corriente debe ser grande y el ciclo debe tener un ancho de banda grande.
Debe resaltarse que el ciclo til es modulado al doble de la frecuencia de
alimentacin. La funcin de transferencia depende del ciclo til. Las condiciones
de estabilidad y los resultados deben satisfacerse para todos los ciclos tiles.
En consecuencia, la funcin de transferencia en general podra considerarse
como una ganancia dada por Rmo / Rf para frecuencias arriba de los 200Hz [39].

5.1.3 Regulador de voltaje. El modelo del regulador de voltaje para convertidores


de AC a DC con unidad en el factor de potencia, ha sido presentado en el captulo
1 de esta tesis. Los beneficios de este modelo estn claros pero hacer extensos
estos conceptos para los conversores AC AC es un poco complicado. Sin
embargo un modelo completo y exacto para baja frecuencia
puede ser
desarrollado de acuerdo a los parmetros del controlador de voltaje. El voltaje
rectificado de salida Vo del condicionador de corriente, es usado para obtener el
voltaje retroalimentado para el controlador de voltaje. Esto permite que el
controlador sea implementado usando un circuito integrado diseado para corregir
el factor de potencia en conversores AC DC. El diagrama de bloques del
controlador de voltaje es presentado en la figura 45. El controlador mantiene
constante el voltaje DC en la carga. Si el ancho de banda del controlador de
voltaje fuera grande, esta modulara la entrada de corriente de forma poco
conveniente, esto hace que el ancho de banda del controlador sea menos que la
frecuencia de alimentacin. La consideracin para la respuesta transitoria es que
el ancho de banda debe ser lo ms grande posible. Un ancho de banda de 20Hz
es bueno. En el controlador, Gvc provee la amplitud para que la referencia de
corriente se mantenga en el inductor. La amplitud es multiplicada por el voltaje de
entrada para obtener la forma sinusoidal para el valor de la corriente instantnea.
Sin embargo, se introduce una ganancia que depende de la magnitud del voltaje
de entrada. Para compensar esto, el voltaje Vff es el cuadrado y entra al divisor
para sostener ganancia independiente de la entrada de voltaje. La seal Vff es
proporcional al promedio de la entrada de voltaje. Cualquier ruido en la frecuencia
de alimentacin, presenta distorsiones en la corriente de entrada. Por esto es
75

necesario un filtro adecuado para eliminar la distorsin en el voltaje de entrada.


Tpicamente se usa un filtro de segundo orden con polos entre 10 a 15 Hz [24].
Esto tiene poco impacto en la dinmica del controlador.

Figura 45. Diagrama de bloques de un tpico regulador de voltaje para


control por multiplicador.

Fuente: CHATTERJEE, K and VENKATARAMANAN, G. Unity power factor single


phase AC line current conditioner. IEEE Industrial applications Society Annual
meeting. Roma, Italy, October 8 12, 2000.

De acuerdo a estas consideraciones, el modelo del controlador puede ser


simplificado y el resultado es mostrado en la figura 46. Este modelo representa la
dinmica para frecuencias por debajo de la frecuencia de alimentacin. Por lo
tanto, este modelo puede ser usado para definir el controlador de voltaje. Un
controlador con polo dominante para Gvc tiene una respuesta aceptable para
operar en el rango con o sin carga [29].

Figura 46. Diagrama de bloques simplificado del regulador de voltaje.

Fuente: CHATTERJEE, K and VENKATARAMANAN, G. Unity power factor single


phase AC line current conditioner. IEEE Industrial applications Society Annual
meeting. Roma, Italy, October 8 12, 2000.
76

Los conversores AC AC recientemente han sido tenidos en cuenta para varias


funciones en el control de potencia y sus aplicaciones no han sido completamente
estudiadas. Los estudios presentados en esta tesis representan una pequea
aplicacin de la modulacin de ancho de pulso para conversores AC AC.

5.2 APLICACIN DEL CONTROL DE UN CICLO APLICADA EN CONVERSOR


AC/DC BASADO EN TOPOLOGIA BOOST

El dual boost combina rectificacin y correccin de factor de potencia. Solo un


interruptor es operado al tiempo para el semiciclo negativo o positivo
respectivamente. El controlador empleado se basa en la tcnica OCC la cual
provee gran simplicidad y una excelente estabilidad. La topologa propuesta,
tericamente puede lograr la unidad en el factor de potencia. El anlisis terico es
verificado en simulacin basado en un prototipo para 400W de salida. A
continuacin se muestra el circuito, su funcionamiento y los resultados de
simulacin, el anlisis matemtico se encuentra en el paper [41].

5.2.1 Descripcin del circuito. La topologa dual-boost es mostrada en la figura


47. Los dos interruptores son conmutados por el mismo driver, sin embargo, solo
uno opera durante el semiciclo positivo y el otro durante el semiciclo negativo.
Cuando el voltaje de entrada esta en el semiciclo positivo (Vg > 0), el diodo D2
queda polarizado en inversa y no conduce, por lo tanto solo opera S1 con el diodo
D1 como se muestra en la figura 47(b). Ocurre lo mismo cuando el voltaje de
entrada esta en el semiciclo negativo (Vg < 0), pero esta vez solo conduce S2 y
D2 como se muestra en la figura 47(c).

Figura 47. Topologa del conversor boost dual

(a) Diagrama esquemtico.

77

(b) Circuito equivalente cuando Vg > 0.

(c) Circuito equivalente cuando Vg < 0.


Fuente: YUE-FENG Y. and YUAN-RUI C. Analysis and desing of one cycle
controlled Dual-Boost Power Factor Corrector. IEEE transactions. Electric Power
College, South China University of Technology. Guangzhou. 2006.

5.2.2 Circuito de control. Durante el semiciclo positivo (Vg > 0), el voltaje en el
inductor en cada ciclo del PWM est dado de la siguiente forma:

VL = Vg mientras 0 < t < dTs, S2 est en ON.


VL = Vg Vo, mientras dTs < t < Ts, S2 est en estado off.
En donde d es el ciclo til, Ts es el periodo de conmutacin y Vo es el voltaje de
salida. En la figura 48 se muestra el diagrama del conversor junto con el
controlador OCC. La seal
es usada para conmutar el interruptor; el tiempo
OFF del interruptor de potencia es controlado.

En este caso, el integrador opera mientras el interruptor esta en off y la corriente


de entrada pasa a travs del bus DC, entonces, la corriente de entrada puede ser
sensada por el bus DC usando una resistencia como se muestra en la figura 48.

78

Figura 48. Conversor dual-boost con tcnica OCC para PFC.

Fuente: YUE-FENG Y. and YUAN-RUI C. Analysis and design of one cycle


controlled Dual-Boost Power Factor Corrector. IEEE transactions. Electric Power
College, South China University of Technology. Guangzhou. 2006.

5.2.3 Resultados de simulacin. La simulacin es hecha en Pspice. Las


condiciones fueron: potencia de salida de 400W, Voltaje de entrada de 110V rms,
voltaje de salida en DC de 400Vrms, capacitancia de salida igual a 470uf,
inductancia de 1.8mH, resistencia de sensado de corriente de 0.33. Las formas
de onda de voltaje de entrada y corriente de entrada son mostradas en la figura
49. El voltaje sensado en Rs, la seal portadora Uc y la seal del driver
son
mostradas en la figura 50. Por ltimo, con un anlisis de Fourier, es mostrado el
espectro e la corriente de entrada en la figura 51.

Figura 49. Formas de onda del voltaje y la corriente de entrada.

79

Figura 50. Formas de onda del bloque de control.

Figura 51. Espectro de la corriente de entrada.

Fuente : YUE-FENG Y. and YUAN-RUI C. Analysis and design of one cycle


controlled Dual-Boost Power Factor Corrector. IEEE transactions. Electric Power
College, South China University of Technology. Guangzhou. 2006.

80

De acuerdo con los resultados del anlisis de Fourier mostrados en la figura 50, se
puede conocer que el THD de la corriente de entrada es 0.94%, quiere decir que
el conversor puede logar la unidad en el factor de potencia con un bajo costo.

5.3 DISEO DE CONTROLADOR


PROPUESTO EN CAPITULO 3.3

OCC

PARA

CONVERSOR

AC/AC

La operacin del control de un ciclo es analizada las referencias [8] y [36].


La salida de voltaje del conversor Vo es reducida por un divisor y es llevada a un
amplificador de error VFB. El amplificador de error es usado con un ciclo de
compensacin para generar una seal de error o voltaje modulado V m como se
muestra en la figura 52.

Figura 52. Amplificador de error compensado.

Fuente: BROWN, R and SOLDANO M. PFC converter design with IR1150 One
Cycle Control IC. International Rectifier. Canad. 2005.

El ncleo del control de un ciclo es el integrador. Este bloque integra el voltaje de


modulacin proveniente del amplificador de error y es reseteado en cada ciclo de
conmutacin. El esquema completo de un OCC se muestra en la figura 53.

Dado que el ancho de banda del lazo de voltaje vara muy lentamente, puede ser
considerado como constante en cada ciclo de conmutacin. Esto significa que la
salida del integrador ser una rampa lineal. La pendiente de la rampa es
directamente proporcional al voltaje de salida Vm del amplificador de error como
se muestra en la figura 54.

81

Figura 53. Controlador de un solo ciclo.

Fuente: BROWN, R and SOLDANO M. PFC converter design with IR1150 One
Cycle Control IC. International Rectifier. Canad. 2005.

Una importante caracterstica es que el tiempo de integracin debe coincidir con el


periodo de conmutacin de tal modo que al final de cada ciclo, la rampa alcance el
valor de Vm. La referencia para el comparador que genera el PWM es obtenida
de la resta del voltaje sustrado de la resistencia que sensa corriente y el voltaje de
modulacin Vm como se muestra en la figura 55.
Vm GDC.VSNS

(43)

Figura 54. Caracterstica del integrador reseteable.

Fuente: BROWN, R and SOLDANO M. PFC converter design with IR1150 One
Cycle Control IC. International Rectifier. Canad. 2005.

82

Figura 55. Generacin de la seal PWM.

Fuente: BROWN, R and SOLDANO M. PFC converter design with IR1150 One
Cycle Control IC. International Rectifier. Canad. 2005.

Esta es la configuracin adecuada para generar el PWM del OCC con el fin de
controlar apropiadamente el BOOST. Al proporcionar un umbral de referencia en
funcin de la corriente de entrada y una seal de rampa dependiente del
voltaje de salida, el control del ciclo del convertidor se logra para la regulacin del
voltaje de salida y correccin del factor de potencia. Esta tcnica de control no
requiere sensar el voltaje de lnea: La informacin del voltaje de lnea est
contenida en la corriente del inductor. El diseo y los valores necesarios para la
operacin del controlador se encuentran en el anexo C.

5.3.1 Resultados de simulacin de acuerdo a datos calculados en el anexo C.


En la figura 56 se muestra el diagrama esquemtico del conversor diseado con la
tcnica de control OCC.

Las principales seales del controlador se muestran en la figura 57.

El PWM va a un interruptor bidireccional, al otro interruptor va con la seal


invertida del PWM mostrado como se muestra a continuacin:

83

Figura 56. Diagrama esquemtico de conversor AC/AC tipo boost con


tcnica de control OCC.

Figura 57. Seales generadas por el controlador.

84

Figura 58. Seal de PWM para los interruptores.

La seal superior pertenece a S1 y la inferior pertenece a S2. A continuacion en la


figura 59 se muestra los resultados de simulacion respecto a las formas de onda
de la corriente y el voltaje de entrada.

Figura 59. Voltaje y corriente de entrada.

Como se aprecia en la figura 59, el voltaje y la corriente de entrada estn


totalmente en fase lo que hace que el sistema tenga un factor de potencia cercano
a la unidad y un funcionamiento de alta eficiencia. En la figura 60 se muestra la
trasformada rpida de Fourier que nos permite hacer el software PSIM a la
corriente de entrada para realizar un anlisis de armnicos presentes en la seal.

85

Figura 60. Espectro de frecuencia de la corriente de entrada

Como se aprecia en la figura 60, el pico ms pronunciado se presenta a la


frecuencia fundamental (60Hz), de ah en adelante se presentan armnicos de
muy poca magnitud debido a que la corriente al principio es bastante distorsionada
en el periodo de accin del controlador hasta que el sistema se estabiliza y el
controlador junto con el filtro EMI, eliminan por completo los armnicos de
frecuencias altas. Sin embargo, las magnitudes de los armnicos estn dentro de
los lmites permitidos por la norma EN61000-3-2 (Lnea negra) para equipos de
clase A y D que limita el contenido armnico de cada equipo individualmente [37].

Debido a que Psim no permite calcular el desfase entre el voltaje y la corriente por
lo tanto es necesario medir el periodo de la corriente y el periodo del voltaje, que
posteriormente se restan de la siguiente forma:

Periodo del voltaje = 1/60Hz = 0.016666 seg


Periodo de la corriente = 0.016993 seg

La diferencia entre del periodo entre la corriente y el voltaje es 0.000326879 seg


Se conoce que un periodo de una onda equivale a 360 grados, aplicando una
regla de tres, conocemos el desfase en grados entre la corriente y el voltaje de
entrada:

86

0.01666 equivale a 360o


326.879us
X
Al aplicar la regla de 3 obtenemos un desfase de 7,06o ; le sacamos el coseno a
este desfase y se obtiene el factor de desplazamiento:
DPF = cos (7,06o) = 0.99
Para calcular el factor de potencia, es necesario tener el dato de la distorsin
armnica total este se obtiene de la formula:

I
THD

h2

Il

2
h

(43)
X 100%

donde:
Ih = Magnitud de la armnica individual
h = orden armnico
IL = demanda mxima de la corriente fundamental de carga.
13.77 A
X 100% 30%
12 A

THD

Ahora, el factor de potencia se calcula con la ecuacin 21.


FP

DPF
1 THDi 2

0.99
1 0.32

0.948

Un factor de potencia que cualquier usuario de energa elctrica deseara.

87

6. DISEO DE INTERFACE DE USUARIO EN SOFTWARE CONTROLDESK.

6.1 SISTEMA DSPACE.

El Kit dSPACE 1104 es un entorno de prototipado rpido para Investigacin y


desarrollo de controladores de tiempo real. Este sistema utiliza Simulink como
herramienta de diseo e interface humana con el exterior, con la ayuda del RealTime Workshop (RTW) de Matlab y un software propio del kit, para el desarrollo de
sistemas de tiempo real denominado dSPACE Real-Time Interface (RTI). El
modelo en Simulink es traducido a lenguaje C y descargado sobre la tarjeta
dSPACE 1104 para ser ejecutado en tiempo real. Este proceso de traduccin de
un entorno grafico amigable, de programacin de alto nivel, mediante diagramas
de bloques como lo es Simulink, a un lenguaje eficiente de bajo nivel como lo es
C, potencia el tiempo dedicado por el usuario del sistema, permitiendo la
implementacin de controladores de una manera prctica, y eficiente.

6.1.1 Tarjeta de adquisicin de datos DS1104. La tarjeta controladora DS1104


para investigacin y desarrollo es una tarjeta estndar que puede ser colocada en
una ranura tipo PCI de un PC. El hardware de tiempo real est basado sobre el
microprocesador PowerPC 603e (Master PPC), y para propsitos avanzados de
entrada salida cuenta con DSP esclavo (Slave DSP) basado en DSP
TMS320F240. La siguiente grfica presenta una visin global de la arquitectura y
las unidades funcionales de la tarjeta DS1104 [12].
La siguiente grfica presenta una visin global de la arquitectura y las unidades
funcionales de la tarjeta DS1104:

88

Figura 61. Diagrama de bloques de la arquitectura de la tarjeta DS1104

Fuente: dSPACE. Libro: RTI Reference, DS1104 R&D Controller Board.


Paderborn Germany. Marzo 2004.

6.2 SOFTWARE CONTROLDESK.

ControlDesk es el programa que suministra el Kit DS1104, como entorno de


desarrollo para aplicaciones GUI, adems de proveer tanto funciones de control y
monitoreo, como entornos de programacin en lenguaje C o Phyton. En resumen,
es el ambiente natural de creacin y administracin de los experimentos del
sistema dSPACE. La ventana principal de ControlDesk se puede apreciar en la
figura 62. ControlDesk posee una gran cantidad de instrumentos virtuales (en su
mayora orientados a aplicaciones automotrices), para interactuar y visualizar
cualquier variable o parmetro del modelo desarrollado.

89

Figura 62. Entorno de trabajo del software ControlDesk.

6.3 DISEO DE INTERFACE.

El conversor se implement en simulink y se muestra en la figura 63. En la figura


64 se muestra la interface diseada. La forma de configurar el software, enlazar
variables, diseo y dems aspectos de la tarjeta dSPACE y el software
ControlDesk se explica muy bien en la referencia [12].Hay que resaltar que los
clculos y las seales de control efectuadas por la tarjeta DS1104 son en tiempo
real pero la visualizacin en la interface no lo es.

90

Figura 63. Implementacin de conversor BOOST AC/AC en simulink.

Figura 64. Interface grfica para monitoreo de sistema conversor AC/AC tipo
BOOST con correccin del factor de potencia.

91

La interface diseada permite ver el Voltaje de entrada y la corriente de entrada en


una misma grfica as como el voltaje de entrada versus el voltaje de salida; en
otra grfica permite ver el espectro de frecuencia de la corriente de entrada para
poder analizar el comportamiento de los armnicos; la interface tambin permite
seleccionar el voltaje de salida deseado y deja manipular el ciclo til manualmente.

92

7. CONCLUSIONES Y COMENTARIOS

Durante el desarrollo de esta tesis se presentaron algunos inconvenientes con


respecto a las simulaciones; debido a que PSPICE es un software que simula
circuitos de una forma muy cercana a la realidad, hay que tener en cuenta acople
de impedancias, resistencias internas, frecuencia de trabajo y muchos otros
detalles que hacen tediosa la tarea de cuadrar los circuitos para poder visualizar
su comportamiento en el tiempo, por esta razn, se opt por usar el software PSIM
ya que es ms simple que PSPICE, muestra el desempeo de circuitos de forma
muy funcional y permite realizar simulaciones complicadas que normalmente en
PSPICE requieren bastante tiempo de procesamiento para calcular las formas de
onda, la desventaja de PSIM es que no permite hacer un anlisis detallado de los
circuitos como un anlisis paramtrico que si permiti PSPICE y que fue explicado
en el capitulo 3.3.2. Tambin se decide usar MATLAB para simular el
comportamiento del BOOST y poder visualizar las formas de onda la interface
diseada con el ControlDesk.

El presente trabajo ayud a reforzar habilidades en cuanto a desarrollo de


proyectos siguiendo un patrn metodolgico como lo es el diseo concurrente, el
cual, es eficiente y ayuda a reducir tiempo de ejecucin de un proyecto obteniendo
ptimos resultados.

Cabe mencionar que este proyecto fue presentado en la IV semana tcnica de


ingeniera elctrica y electrnica que se desarroll en el marco de la primera
convencin de ingeniera y arquitectura de la Universidad Nacional de Colombia
sede Manizales, siendo aceptado sin poder ir a exponerlo.

En Conclusin:

Se han estudiado, analizado y comparado las diferentes tcnicas de eliminacin


de armnicas y correccin de factor de potencia con convertidores electrnicos y
se ha escogido la topologa BOOST operando en modo de conduccin continua,
como la mejor opcin para aplicaciones con PFC ya que puede obtener una
corriente de lnea continua; esto se debe a que la lnea se encuentra en serie con
el inductor del conversor y toda la corriente circula a travs de este. Se pueden
alcanzar niveles de rizado muy suaves en la corriente de lnea si sta es continua.
Se logr un alto factor de potencia (FP > 0.95), baja distorsin armnica total (THD
< 30% cumpliendo con la norma EN6001-3-2) y un amplio rango de operacin de
voltaje AC.
93

Entre las topologas de interruptores bidireccionales, la configuracin en puente es


la que mejor se comporta debido a que solo necesita de un interruptor
bidireccional, lo cual resulta ser ms econmico y adems, facilita bastante el
control y la implementacin, comparando con la configuracin en anti-serie y antiparalelo; la desventaja es que posee ms elementos en la ruta de la corriente lo
cual repercute en cada de tensin, pero esto no es relevante comparado con su
fcil control por el uso de un solo mosfet.

Se ha logrado disear una nueva aplicacin de conversor AC/AC tipo boost (sin
pasar por DC) con correccin activa del factor de potencia usando la tcnica de
control de un ciclo (OCC). El sistema propuesto se ha probado con una carga
altamente no lineal como lo es un puente rectificador obteniendo resultados muy
satisfactorios en cuanto a eficiencia, alto rendimiento y eliminacin de armnicas.
Tambin se ha puesto un filtro EMI en la entrada para eliminar por completo la
distorsin armnica.

Con la topologa trabajada se lograron


grandes ventajas como pocos
componentes electrnicos que conlleva a la reduccin de costos y tiempo de
diseo. El sistema provee una alta regulacin del voltaje de salida utilizando la
energa elctrica con calidad y alta eficiencia, lo que implica un significativo ahorro
de energa obteniendo mejores resultados comparado con las topologas
AC/DC/AC.

Hay que resaltar que aunque la tcnica de control es muy eficiente para
aplicaciones de correccin de factor de potencia, presenta problemas de distorsin
o discontinuidad de la corriente en los cruces por cero pero no repercute
negativamente en su alta eficiencia y correcto desempeo.

La interface de usuario que permite hacer el software ControlDesk de la dSPACE


en conjunto con simulink de Matlab, nos permite una fcil manipulacin de
sistemas tanto fsicos como simulados, adems, trabaja a frecuencias altas (MHz)
lo cual no deja que haya retrasos en las seales de entrada o salida permitiendo
un control ptimo, muy eficiente y en tiempo real. A esto se le aade que la tarjeta
de adquisicin de datos permite la entrada y salida de seales anlogas de 10V
lo que permite la ampliacin de aplicaciones posibles, entre ellas, anlisis, control
y monitoreo de sistemas de potencia.

94

BIBLIOGRAFIA

[1] ABDULLAH KhoeI and SUBBARAYA, Yuvarajan. Single-phase AC-AC


converters using power MOSFETs. IEEE transactions on industrial electronics,
VOL. 35, NO. 3, August 1988.
[2] AGBINYA J. Microprocessor determination of PWM signals using second order
difference equations. IEEE trans. Ind. Electron., vol IE-34, no. 4, pp. 494-496. Nov
1987.
[3]. BALCELLS J and Daura Francesc. Interferencias electromagnticas en
sistemas electrnicos. Marcombo. 1991.
[4] BARBI I, FAGUNDES E. and KASSICK V. A compact AC/AC voltaje regulator
based don AC/AC high frequency flyback converter. IEEE transactions on
industrial electronics, VOL. 35, NO. 3, August 1990.
[5] BLAND M and WHEELER P. Comparison of Bi-directional Switch Components
for Direct AC-AC Converters. 35th Annual IEEE Power Electronics Specialists
Conference. 2004. P, 2905 2909.
[6] BLANES J, SIRVENT y CARRASCO J. Factor de Potencia: Efectos de los
rectificadores sobre la red de alimentacin. Escuela politcnica superior de Elche.
1998.
[7] BROOKS T. Specifying the best PFC topology for your power supply. Analog
zone. Taiyo Yuden. Mayo 2004. P.6.
[8] BROWN R and SOLDANO M. PFC converter design with IR1150 One Cycle
Control IC. [en linea]. Canad: International Rectifier, 2005. [Consultado 17 de
Septiembre de 2009]. Disponible en internet: http://www.irf.com/technicalinfo/appnotes/an-1077.pdf.
[9] CHATTEJEE K. Analysis, modeling and design of utility line current conditioner.
MS Thesis, Montana State University, Bozeman, MT, April 2000.
[10] Correccin del factor de potencia en cargas inductivas [en lnea]. Electrnica
UNICROM, 2002 [Consultado 21 de Junio de 2009]. Disponible en Internet: http://
www.unicrom.com/tut_calFPconVectCorr.asp

95

[11] FIGUERES E, BENAVENT J y GARCER Sanfeliu G. Simulacin de circuitos


electrnicos de potencia con PSPICE. Universidad politcnica de Valencia. Ed.
Alfa omega. Mxico, 2001.
[12] FORERO DELGADO A. Control no lineal en tiempo real para el servo motor
DC MS150 Aplicacin del sistema DSPACE. Trabajo de grado profesional en
Ingenieria Electronica. Cali, Universidad del Valle. Faculta de Ingeniera elctrica y
electrnica. 2005. 118 p.
[13] FU-YUAN Shich and DAN Y. A procedure for designing EMI filtres for AC line
applications. IEEE transactions on power electronics. Vol 11. No 1. January 1996.
[14] GUALDA GIL Juan Andrs y SALVADOR MARTNEZ Garca. Electrnica
industrial: Tcnicas de potencia. Universidad Politcnica de Madrid Escuela
Tcnica Superior de Ingenieros Industriales. Marcombo, 2000. 496 p.
[15] GUERRERO SARRIA,Jaime Andres y PATINO CAMPO, Luz Adrian. Sistema
de doble conversion AC/DC/AC con correccion de factor de potencia de
entrada.[CD ROM]. Trabajo de grado profesional en Ingenieria Electronica. Cali,
Universidad Autonoma de Occidente. Faculta de Ingenierias. Departamento de
automatica y electronica. 2006. 216 p.[16] GRIGORE Vlad. Topological issues in
single phase power factor correction [ en lnea]. Finlandia: Helsinki University of
Tecnology, 2001. [Consultado el 21 de agosto de 2009]. Disponible en internet:
http://lib.tkk.fi/Diss/2001/isbn9512257351/

[17] HART, W. Daniel. Electrnica de potencia. Mxico. Pearson educacin, 2001.


451 p. ISBN 0-02-351182-6.
[18] HISAYUKI Sugimura, SANG-PIL Mun and SOON-KURL Kwon. Direct AC-AC
Resonant Converter using One- Chip Reverse Blocking IGBT-Based
Bidirectional Switches for HF Induction Heaters. IEEE transactions on power
electronics. Vol 11. No 2. January 1997.
[19] IDIR, N, FRANCHAU J, and BAUSIERE. Active Gate Voltage Control of
Bidirectional Switches used in AC AC Converters. Power Electronics Specialists
Conference, 2007 PESC 2007. IEEE. 17 21 June 2007. P. 690 695.
[20] KHAI D and ALPIZAR E. Modeling of Magnetizing Inductance and Leakage
Inductance in a Matrix Transformer. IEEE transactions on power electronics, vol. 8,
no. 2, April 1993.
[21] Klaic Electronic section [En lnea]: Transformer Calculator. Philadelphia: Silvio
Klaic, 2007 [consultado el 20 de Agosto de 2009]. Disponible en internet:
http://www.sklaic.info/me/
96

[22] Low Pass Filter Butterworth [en lnea]: Chebyshev. Educypedia, 2009
[Consultado
20
de
Marzo
de
2009].
Disponible
en
Internet:
http://www.educypedia.be/electronics/electroniccalculatorsfilter.htm
[23] MARTNEZ Pareja V. Sistema Regulador de Tensin de AC Monofsico
Utilizando Interruptores Bidireccionales Conmutados en Alta Frecuencia. Trabajo
de grado profesional en Ingenieria Electronica. Cali, Universidad Autnoma de
Occidente. Facultad de Ingeniera. Departamento de automtica y electrnica,
2009.
[24] MARTINEZ SARMIENTO Freddy Hernn y GOMEZ MOLANO Diego
Fernando. Correccin activa del factor de potencia en cargas no lineales. ARMOS.
Bogot D.C.Vol 8.
[25] MASAHITO J, JIANN C.S and YUAN Chen P. Effects of Magnetizing
Inductance On Active-clamped Forward Converters. I-Shou University. No 1.
Taiwn, 2000.
[26] MUHAMMAD Rashid, VIRGILIO Gonzlez y POZO Agustn, Electrnica de
potencia: Circuitos, dispositivos y aplicaciones. 3 Ed. Pearson Educacin, 2004.
878 p. ISBN 968-880-586-6.
[27] NABIL A and AHMED A. A new configuration of single phase symetrical PWM
AC chopper voltage controller. IEEE transactions on industrial electronics, vol. 46,
no. 5, October 1999. p 942 952.

[28] POSADA J. Convertidores de potencia. Cali, Colombia. Universidad


Autnoma de Occidente. Notas de clase, 2008.

[29] PORFIRIO Njera. Convertidor de CA/CA con correccin del factor de


potencia y alta eficiencia, para aplicaciones en cargas no lineales de uso
domstico [en lnea]. Chihuahua: Adriana Montes, 2008 [Consultado 4 de junio de
2009].
Disponible
en
internet:
http://www.depi.itchihuahua.edu.mx/electro/archivo/electro2001/mem2001/articulos
/pot1.pdf
[30] PO-SHEN Chen and YEN-SHIN Lai. New EMI filter design method for single
phase power converter using Software-based Boise separation method. Center for
power Electronics Tecnology, National Taipei. University of Technology, 2007.

97

[31] RAMREZ Herbert y MARQUEZ R. Control de sistemas no lineales:


Linealizacin aproximada, extendida, exacta. Prentice Hall, 2005. 360p.
[32] RASHID, Muhammad H. Electrnica de potencia: circuitos, dispositivos y
aplicaciones. 2 Ed. Mxico. McGraw-Hill, 2000. 702 p.
[33] RAYMOND A Serway. Electricidad y magnetismo. Thompson, 2000.
[34] Robert P. Derrick, P.E. Application of isolation transformers for paper
machine drivers. IEEE transactions on power Electronics, vol. 11, no. 1, January
1988.
[35] SANCHEZ BLANCO, Monica Piedad. ALVEAR CANDELO, Edwin Alexis.
Implementacion de un sistema conversor AC/AC con correccion de factor de
potencia monofasico para aplicaciones a cargas no lineales. [CD ROM]. Trabajo
de grado profesional en Ingenieria Electronica. Cali, Universidad Autonoma de
Occidente. Faculta de Ingenierias. Departamento de automatica y electronica.
2006.
[36] SMEDLEY K and CUK S. One cycle control of switching converters. Power
electronics group. California Institute of technology. P 888 896.
[37] SUAREZ J.A. and DI Mauro. Anlisis de la distorsin armnica y los afectos
de atenuacin y diversidad en reas residenciales. IEEE Latin America
transactions, vol. 3, NO. 5, Diciembre 2005. P 429 435.
[38] T. Distorsin armnica [en lnea]. Puebla: Ramrez Eugenio, 2008 [Consultado
15
de
Marzo
de
2009].
Disponible
en
internet:
http://www.watergymex.org/contenidos/rtecnicos/Optimizando%20la%20Operacion
%20y%20el%20Mantenimiento/Distorsion%20Armonica.pdf
[39] VENKATARAMANAN G and CHATTERJEE K. Unity power factor single
phase AC line current conditioner. IEEE Industrial applications Society Annual
meeting. Roma, Italy, October 8 12, 2000.
[40] Ventajas de la correccin del factor de potencia [en lnea]. Bogot D.C:
Energex, 2009 [consultado 20 de Abril de 2009]. Disponible en internet:
http://www.energex.com.co/pdf/factorpotencia.pdf
[41] YUE-FENG Y. and YUAN-RUI C. Analysis and design of one cycle
controlled Dual-Boost Power Factor Corrector. IEEE transactions. Electric Power
College, South China University of Technology. Guangzhou. 2006.
98

ANEXOS

Anexo A. Interferencia electromagntica EMI.

La interferencia electromagntica es la perturbacin que ocurre en cualquier


circuito, componente o sistema electrnico, causada por una fuente externa al
mismo. Tambin se conoce como EMI por sus siglas en ingls (ElectroMagnetic
Interference), Radio Frequency Interference o RFI. Esta perturbacin puede
interrumpir, degradar o limitar el rendimiento de ese sistema. La fuente de la
interferencia puede ser cualquier objeto, ya sea artificial o natural, que posea
corrientes elctricas que varen rpidamente, como un circuito elctrico.

La Interferencia Electromagntica se define, entonces, como cualquier


perturbacin electromagntica que se manifiesta en la degradacin de la
operacin, el mal funcionamiento o la falla de un dispositivo, equipo o sistema
elctrico, electrnico o de telecomunicaciones. Aunque, tradicionalmente, el
concepto ha sido asociado con fenmenos de radiacin o conduccin de campos
electromagnticos, este tiene un concepto ms amplio que incluye fenmenos
como armnicos, transitorios, rayos, descargas electrostticas, ruido, fluctuaciones
de tensin, etc.

Compatibilidad electromagntica (EMC).

Para que la compatibilidad electromagntica de un dispositivo o equipo sea


efectiva y econmicamente viable, debe involucrarse desde las primeras etapas
de diseo, teniendo en cuenta que este debe operar adecuadamente en un
entorno electromagntico especfico. Esto garantiza que las medidas para
conseguir EMC y los costos asociados no afecten la competitividad del mismo en
el mercado.
Es importante resaltar que tanto diseadores como consumidores deben
someterse a las normas de EMC, para obtener altos estndares de calidad en
procesos que involucren dispositivos y equipos elctricos y electrnicos. Por ello,
para un pas como Colombia, cobra relevancia el desarrollo de esfuerzos de
caracterizacin de las condiciones electromagnticas particulares del medio. La
caracterizacin del entorno electromagntico del pas, que debe efectuarse desde
puntos de vista espacio temporales y estadsticos, constituye la base tanto para

99

elaborar los mtodos de prueba de la susceptibilidad1 e inmunidad2 de los


sistemas como para sentar una discusin fundamentada con respecto a s, para
cada tpico especfico, deben desarrollarse normas propias o adoptarse las de
carcter internacional.

De manera general, los elementos bsicos que deben ser examinados cuando
existe una condicin de perturbacin son:

La fuente de interferencia.
El sistema perturbado y
El canal de acople entre ambos.
El que una condicin de perturbaciones constituya un suceso potencialmente
perjudicial depender, entre otros factores de:

El nivel de la perturbacin (magnitud y forma de onda, rango de frecuencia,


contenido de energa, mxima tasa de variacin, frecuencia de ocurrencia y
duracin, etc.).

La susceptibilidad del receptor (respuesta de frecuencia, condiciones de


diseo, presencia de elementos de proteccin, materiales etc.).

Las condiciones en las cuales se efecte el acoplamiento (por conduccin o


por radiacin, caractersticas del medio de propagacin, atenuacin, etc.).

En la actualidad, en el mundo aparecen dispositivos que son cada vez ms


sensibles a las perturbaciones electromagnticas. En la industria, los sistemas de
control y los equipos de procesamiento de datos desempean un papel cada vez
ms importante; por lo tanto, es prioritaria una solucin a esto junto con el
problema de la compatibilidad electromagntica (EMC). En la prctica, la
ingeniera usa nuevos mtodos para la eliminacin de perturbaciones producidas
por interferencia electromagntica.

La susceptibilidad est definida como la capacidad de un dispositivo o equipo elctrico o electrnico para generar una
respuesta no deseada cuando es sometido a una perturbacin electromagntica.
2
La inmunidad se define como la capacidad de un sistema para continuar operando satisfactoriamente al estar sometido a
perturbaciones electromagnticas

100

La interferencia electromagntica (EMI) puede ser reducida a niveles aceptables


usando filtros comnmente llamados filtros EMI o RFI. Los filtros EMI son
usualmente circuitos pasa bajos con bobinas en serie y capacitores en paralelo.
Estos filtros pueden ser divididos en dos grupos; el primero es llamado filtros de
datos, son usados en sistemas de comunicaciones, para nuestro caso no nos
interesa. El segundo grupo son los filtros EMI usados en electrnica de potencia.
En comparacin con los filtros de datos en telecomunicaciones, los filtros EMI de
potencia operan tpicamente bajo condiciones de mala impedancia. Este problema
en los circuitos de potencia es la variedad de impedancias que se presentan al
tener diferentes fuentes o diferentes tipos de carga. El diseo de filtros EMI es
diferente a los mtodos conocidos para los filtros clsicos, estos filtros requieren
de cuidado y unos criterios especiales que a continuacin se explican.

Criterios para el diseo de filtro Emi para circuito con pfc. Los tres
primordiales requerimientos para el filtro en la entrada del circuito PFC son
expuestos a continuacin:
Se requiere atenuacin del ruido presentado por la conmutacin.
Muy poco desplazamiento de fase entre el voltaje de entrada al filtro y la
corriente.
Total estabilidad del sistema.

El primer requerimiento es dictado por los estndares de control contra


interferencias electromagnticas, e.g, VDE 0871, Mil. Std.461D. Todos los
estndares mencionados requieren de bajos niveles de EMI. Lo requerido con
respecto a la atenuacin del filtro en la entrada para un conversor entre 1 10kW
y con frecuencia de conmutacin en el rango de 50KHz esta entra 60 y 120dB
dependiendo de la topologa del conversor.

El segundo requerimiento existe nicamente en el diseo del filtro para el circuito


PFC. La siguiente figura muestra un diagrama simplificado de un conversor con
PFC y filtro de entrada y muestra el diagrama fasorial de voltajes y corrientes. Se
asume que todos los componentes del filtro son puestos en la entrada AC antes
del circuito PFC (Si fuera un conversor AC DC, el filtro se pone entre la fuente y
el rectificador), lo cual hace que no sea introducida distorsiones a baja frecuencia.

101

Desplazamiento del voltaje y corriente de entrada debido al filtro. (a)


Diagrama simplificado del filtro de entrada. (b) Diagrama fasorial del voltaje y
la corriente.

Fuente: VLATKO Vlatkovic. Input filter design for power factor correction circuits.
IEEE transactions of power electronics, vol 11. 1996. p. 200.

El circuito tpico de PFC es operado en un lado en el cual produce una corriente


promedio ia la cual est en fase con el voltaje Va. Desde que el voltaje cruza el
filtro, el inductor L es muy pequeo y el voltaje que le entra al conversor es
esencialmente igual al voltaje de lnea Va. El voltaje Va causa una corriente
reactiva, ic que fluye a travs del capacitor C. La corriente total ia es por lo tanto
retrasada con respecto al voltaje de entrada, por lo tanto, resulta un bajo factor de
potencia.

Va VA Vm cos(wt )
ia I m cos(wt )
Si ia y Va estn dados por:
En donde Vm y Im son las amplitudes del voltaje y la corriente respectivamente. La
corriente de entrada ia de la figura anterior es:
102

iA ia ic I m cos(wt) wCVm sen(wt)


All, la corriente ia conduce el voltaje VA por el ngulo de fase
tan 1

wCVm
Im

La fase es proporcional al valor de la capacitancia del filtro; en orden para


mantener un alto factor de desplazamiento de entrada (IDF), definido como IDF =
cos; el tamao del filtro tiene que ser minimizado. El valor mximo de
capacitancia es hallado de la ecuacin anterior:
C max

Im
tan(cos 1 IDF )
wVm

En el caso de un filtro de orden mayor a 2, C max representa la suma de los


capacitores en paralelo del filtro.
El lmite del tamao del capacitor tiene severas implicaciones en el diseo del filtro
para el circuito PFC. Con el fin de cumplir con las especificaciones de atenuacin,
el valor del inductor aumenta lo cual hace que el tamao total del filtro aumente.
Los mtodos de atenuacin con filtro tpicamente usados en conversores dc dc
no pueden ser usados; debido a que la impedancia de salida del filtro, relacionada
con la capacitancia total es ms difcil de controlar causando gran inestabilidad en
el conversor.

El tercer requerimiento se relaciona con controlar las diferentes impedancias que


se pueden presentar entre el filtro y el conversor PFC. En general, la impedancia
de salida del filtro, debe ser tan baja como sea posible comparada con la
impedancia de entrada del conversor [13]. La impedancia de salida del filtro puede
ser reducida si se incrementa el valor del capacitor; adicionalmente, es
extremadamente importante escoger una adecuada atenuacin de los polos del
filtro para as lograr una baja impedancia de salida del filtro para todas las
frecuencias y por lo tanto, lograr la estabilidad del sistema.

Finalmente, con el fin de mantener el valor de los componentes, es conveniente


tener una frecuencia de corte, tan cerca como sea posible de la frecuencia de
conmutacin. El filtro debe tener la caracterstica de pasar de filtro pasa banda a
pasa bajo, por lo tanto, nicamente los filtros del orden alto pueden cumplir con
todos los requerimientos en el circuito PFC.

103

Un tipo de filtro conocido, que posee estas caractersticas es el CauerChebyshev (CC) [13]. Un filtro CC con valores normalizados de componentes y su
caracterstica de atenuacin es mostrado en la siguiente figura . El diseo del filtro
se mostrar ms adelante.

Filtro Cauer Chebyshev. (a) Topologa para dos etapas. (b) Caracterstica
tpica de atenuacin.

Fuente: VLATKO Vlatkovic. Input filter design for power factor correction circuits.
IEEE transactions of power electronics, vol 11. 1996. p. 200.

La ecuacin con la que se disea el filtro EMI es [30]:

fc

1
2 2 LD C X

Se remplaza LD y se despeja Cx o viceversa; teniendo en cuenta que los


condensadores deben tener baja impedancia para frecuencias altas (F > 60Hz) de
tal forma que por ellos se filtre las componentes de frecuencia indeseadas; pero
tambin deben tener alta impedancia para la frecuencia fundamental (60Hz)para
garantizar que la las seales de voltaje y corriente pasen limpias (sin distorsiones
armnicas) hacia la fuente.

104

Anexo B. Ecuaciones para diseo del rectificador

El diseo de un rectificador significa determinar las especificaciones de los diodos


semiconductores. Las especificaciones de los diodos se llenen normalmente en
trminos de la corriente promedio, la corriente rms, la corriente pico y el voltaje
pico inverso. No existen procedimientos estndar para el diseo, pero es
necesario determinar las formas de la corriente y del voltaje del diodo. De las
ecuaciones siguientes correspondientes al voltaje de salida VL y corriente de
salida IL de un rectificador en puente expresadas en series de Fourier; se aprecia
que la salida de los rectificadores contiene armnicas.

VL (t )

2Vm 4Vm
4Vm
4Vm

cos(2wt )
cos(4wt )
cos(6wt ) ...

3
15
35

I L (t )

4 Ia sen( wt ) sen(3wt ) sen(5wt )

...

1
2
5

Se pueden utilizar filtros para suavizar la salida de voltaje en cd del rectificador.


Los filtros de cd son normalmente de tipo L, C, y LC, tal y como se muestra en la
figura 1(a). Debido a la accin de rectificacin, la corriente de entrada del
rectificador tambin contiene armnicas, para eliminar algunas de las armnicas
del sistema de alimentacin de energa se utilizan filtros de ca. El filtro de ca es
por lo regular de tipo LC tal y como se muestra en la figura siguiente
Normalmente es necesario determinar las magnitudes y las frecuencias de las
armnicas para el diseo del filtro.

Cuando el voltaje instantneo es ms alto que el voltaje instantneo del capacitor


Vc, los diodos D1, D2, D3, D4 conduce; entonces el capacitor se carga de la
alimentacin.

Si el voltaje instantneo de alimentacin Vs baja por debajo del voltaje instantneo


del capacitor Vc, los diodos D1, D2, D3 y D4 tienen polarizacin negativa y el
capacitor Ce se descarga a travs de la resistencia R. El voltaje del capacitor Vc,
vara entre un mnimo Vc(min) y un mximo Vc(mx.). Esto se muestra en la
siguiente figura.

105

Rectificador puente monofsico con filtro C.

Fuente: RASHID, Muhammad H. Electrnica de potencia: circuitos, dispositivos y


aplicaciones. 2 ed. Mxico: McGraw-Hill, 2000. Pg. 81.

Se asume que t1 es el tiempo de carga y t2 el tiempo de descarga del capacitor


Ce. El circuito equivalente durante la carga se muestra en la figura anterior. El
capacitor se carga prcticamente en forma instantnea al voltaje de alimentacin
Vs. El capacitor Ce ser cargado al voltaje pico de alimentacin Vm de tal forma
que Vc( t = t1 ) = Vm En la figura 3(d) se muestra el circuito equivalente durante la
descarga. El capacitor se descarga en forma exponencial a travs de R.

Que con la condicin inicial de Vc ( t = 0) = Vm, da la corriente de descarga como

106

1
i L dt Vc(t 0) RiL 0
Ce

iL

Vm t / RCe
e
R

El voltaje de salida o del capacitor VL durante el periodo de descarga se puede


determinar a partir de
V L (t ) Ri L V m e t / RC e

El voltaje de componente ondulatoria de pico a pico (Vrpp) se puede encontrar a


partir de
Vr ( pp ) V L (t t1 ) VL (t t 2 ) V m V m e t 2 / RC e V m (1 e t 2 / RC e )

Dado que e-x = 1 X, la ecuacin anterior se puede simplificar a

t
Vr ( pp) Vm1 1 2
RCe

Vmt 2
Vm

RCe 2 fRCe

Por lo tanto, el voltaje promedio de carga Vcd est dado por


Vcd Vm

Vm
Vr ( pp )
Vm
2
4 fRC e

por lo tanto, el voltaje de componente ondulatoria de salida en valor rms Vca se


puede encontrar en forma aproximada a partir de

Vca

Vm
Vr( pp)

2 2
4 2 fRCe

Y el factor de componente ondulatoria RF se puede determinar a partir de

RF

4 fRCe
Vca
Vm
1

Vcd 4 2 fRCe Vm(4 fRCe 1)


2 (4 fRCe 1)

107

Anexo C. Diseo del controlador

A continuacin se disea el OCC siguiendo el ejemplo que se encuentra en [30]

Divisor de voltaje. La impedancia total del divisor debe ser alta con el fin de que
la potencia disipada sea mnima pero no debe ser tan alta al punto de tomar una
mala muestra del voltaje de error que luego no se pueda comparar con la corriente
de entrada. Es por esto que existen unos lmites para poder hallar el valor de las
resistencias. Es aconsejable una impedancia total de 1M. RFB1 y RFB2,
generalmente se colocan del mismo valor para crear la resistencia de arriba que
por cada una no cruce un voltaje mayor a 250V que es el voltaje recomendado
para estos dispositivos.
RFB1 = RFB2 = 500K, este es un valor estndar.
R FB 3

R FB 3

V REF ( R FB1 R FB 2 )
Vo V REF

7V (1M)
23,89 K
300V 7V

Ahora se calcula el nuevo Vo basado en los actuales valores de resistencia.


Vo

Vo

( R FB1 R FB 2 R FB 3 )V REF
R FB 3

(1M 24 K)7V
298,6V
24 K

Ahora se procede a calcular la potencia disipada por el divisor que se acaba de


calcular:

PRFB1 PRFB1

PRFB1 PRFB1

(Vo VREF ) 2
2( RFB1 RFB 2 )

(300V 7V ) 2
43mW
2(1M)

108

Esta potencia disipada es muy bajita, por lo tanto, no se va a afectar en nada el


rendimiento del conversor.
La ganancia del divisor viene dada por la siguiente expresin:
H 1 (s)

Vref
7V

0.023
Vo
300V

Y por lo tanto la atenuacin de ste, est dada por la expresin


20 log H 1 32.7 dB

Lazo de corriente. La Resistencia que sensa la corriente se selecciona


basndose en el voltaje mnimo de entrada y la mxima potencia de salida con el
fin de garantizar una normal operacin en caso de condiciones extremas.

El amplificador de corriente tiene una ganancia DC GDC = 2.5. La operacin del


OCC est basada en el modo de corriente pico, por lo tanto, la corriente que viene
de la carga hacia la fuente, puede ser tomada como si fuera la corriente en el
inductor. El rango del voltaje equivalente a la corriente de entrada es de 0 a 1V.
La resistencia Rs que sensa la corriente debe tener un valor tal que, ante el voltaje
mnimo de entrada y la mxima carga, el conversor sea capaz de mantener el
voltaje de salida constante.

El ciclo til necesario para obtener el voltaje de salida deseado ante el voltaje
mnimo de entrada esta dado por

Vout VIN ( PK ) min


Vout

300V 100V
0.66
300V

109

Relacin entre la rampa y el ciclo til

Fuente: BROWN R and SOLDANO M. PFC converter design with IR1150 One
Cycle Control IC. International Rectifier. Canad. 2005.

Cuando el voltaje de entrada es bajo (o la carga es alta), el ciclo de voltaje


responde incrementando el voltaje de modulacin Vm, pero cuando Vm llega al
mximo y la corriente aumenta, se limitara el ciclo til causando una cada de
voltaje. En la figura anterior, se puede ver que el ciclo til es determinado en cada
ciclo por la relacin:
Vm G DC .VSNS Ton

D
Vm
Ts

VSNS (max)

Vm( sat ) (1 D)
GDC

El voltaje equivalente al cruce de corriente por la resistencia Rs para establecer la


corriente mnima para el mnimo voltaje de entrada es:

VSNS (max)

VCOMP( EFF ) (1 D)
GDC

110

VSNS (max)

6.05V (1 0.66)
0.82V
2 .5

El voltaje VCOMP(EFF) y la ganancia DC del amplificador fueron tomadas de la


pgina 4 del datasheet del IR 1150, el cual es un integrado que cumple la funcin
de controlador con la tcnica OCC para conversor boost trabajando en modo de
conduccin continuo [8]. Todos los clculos se realizan en base a este datasheet.
Ahora, el valor de la resistencia Rs puede ser calculada a partir del valor mximo
de corriente en el inductor con un factor de sobrecarga del 10%(KOVL = 10%).

I IN ( PK )OVL I IN ( PK ) max L K OVL


2

3.68 A

I IN ( PK )OVL 18.4 A
1.1 22.3 A
2

Para esta corriente mxima y el voltaje en el sensor de corriente, se calcula el


valor de Rs.

Rs

VSNS (max)
I IN ( PK )OVL

0.82V
0.036
22.3 A

La potencia disipada en esta resistencia es calculada basada en el peor caso de


corriente rms de entrada y el voltaje mnimo.
2

PR S I IN ( rms ) max .Rs


PR S 15.5 A 2 (0,0315) 7.36W

Es muy comn emplear un filtro RC en sistemas operando en modo de control de


corriente pico [8]. El filtro es implementado como se muestra en la siguiente figura.
FPSF

1
2RSF C SF

111

Sensor de corriente con filtro.

Fuente: IR1150. ONE CYCLE CONTROL PFC IC. Datasheet No PD60230.


International Rectifier.
Una frecuencia de corte entre 1 y 1.5MHz es lo recomendado y los tpicos valores
de R y de C son:

RSF = 100 que tambin provee una corriente limitante de la corriente


sensada durante los transitorios..

CSF = 1000pF. Este valor mantiene limpia la corriente sensada y as


mantiene el modo de control de corriente pico.

Diseo del amplificador de error compensado. El amplificador de error


compensado se muestra en la figura 7. Este es necesario ya que limita la ganancia
en lazo abierto del ancho de banda a menos de la mitad de la frecuencia de lnea
y elimina el rizado de 120Hz correspondiente al segundo armnico que se produce
a la salida.

Amplificador de error compensado.

Fuente: BROWN R and SOLDANO M. PFC converter design with IR1150 One
Cycle Control IC. International Rectifier. Canad. 2005.
112

La funcin de trasferencia de este amplificador es:

H 2 ( s)

g m (1 sRgm C z )
s(C z C p sRgm C z C p )

La red de compensacin muestra que se agrega un polo y un cero en la funcin de


trasferencia:
fp
2R gm

f0

1
CzC p
Cz C p

1
2R gm C z

Este amplificador depende de Cz, Rgm y Cp. Estos valores se determinan con las
siguientes ecuaciones:

Cz

tss.iOVEA
VCOMP( EFF )

iOVEA y VCOMP(EFF) son valores tomados del datasheet [8].


Cz

50ms.40uA
0.33uf
6.05V

Para eliminar el rizado de 120Hz, lo que primero se necesita es conocer la


magnitud del segundo armnico en el capacitor de salida, as:
Vopk

Vopk

Pin
2 . f 2 nd .C o .Vo

1300W
5,74V
2 .120 Hz.1000uf .300V

La magnitud del rizado de 120Hz necesita ser pequea comparada con el valor de
la oscilacin de voltaje del amplificador de error. Un porcentaje de 1% es lo tpico y
minimizara la distorsin.

113

GVA

GVA

VCOMP( EFF ) 0.01


2Vopk

(6.05V )0.01
0.00527 45.5dB
2(5.74V )

se hallo que H(1) = - 32.7dB, entonces la ganancia del amplificador de error para
mitigar el rizado de 120Hz debe ser:

GVA H 1 45.5dB (32.7 dB) 12.8dB


Expresado en unidades, -16dB equivalen a 0.23.
Con esto, Rgm se calcula con la siguiente ecuacin:
2

R gm

G H1
1

VA
gm
2 . f 2 nd .C z

gm es la tras conductancia sacada del datasheet y viene expresada en uS. Esta


varia de 30 a 55us; se escoge un valor de gm = 40us.
Remplazando todos los valores en la ecuacin 12*, se encuentra que Rgm =
4.112K.
La frecuencia del segundo polo debe ser escogida mucho ms alta que la
frecuencia de red y mucho ms pequea que la frecuencia de conmutacin con el
fin de mitigar ruido, un valor tpico est entre 1/6 y 1/10 de la frecuencia de
conmutacin:
f po

Cp

1
1

C z .C p
2 .R gm .C p
2 .R gm
Cz C p

1
9.44 nf
( 2 ).( 4.1k.)( 4,1kHz )

Hay que tener mucho cuidado con la muestra de corriente sensada debido a que
cuando el voltaje de entrada es bajo (o la carga es alta), el ciclo de voltaje
responde incrementando el voltaje de modulacin Vm, pero cuando Vm llega al
mximo y la corriente aumenta, se limitara el ciclo til causando una cada de
voltaje. En la figura 5 se puede ver que el ciclo til es determinado en cada ciclo
114

por la comparacin entre la rampa (que est determinada por el voltaje de salida)
y una referencia (que depende de la corriente sensada); es por esto que se debe
sensar la corriente de tal forma que al variar mucho la corriente ante cambios de
carga, la referencia de esta que se compara con la rampa no cambie muy
bruscamente haciendo que se limite el ciclo til y produciendo una cada de
tensin. Para evitar esto es necesario reducir al mximo la ganancia que multiplica
a la corriente sensada, por esta razn se decide darle un valor de 0.1 para que el
voltaje de salida este regulado perfectamente a 300V. La ganancia Gdc es
inversamente proporcional al ciclo til, por lo tanto al reducir dicha ganancia, se
aumentar el ciclo til, logrando mantener el voltaje de salida deseado.

115

Anexo D. Diseo del transformador de aislamiento

Lo primero a determinar en el diseo de un transformador es la seccin del ncleo,


este puede variar dentro de lmites relativamente extensos y depende de:

La potencia a manejar (bsicamente el producto de la tensin de salida por la


corriente de salida), la frecuencia de lnea, tipo de hierro a usar en el ncleo
(induccin mxima permitida y perdidas), la densidad de corriente en los
bobinados (corriente por mm2 de seccin de alambre, habr ms perdidas si se
usan 5 Amper por mm2 que 2, en transformadores con carga intermitente se
podrn usar valores altos hasta 5 A en cambio con cargas continuas no ms de 2A
por mm2) . Las proporciones fsicas del ncleo estn resueltas en la mayora de
los casos hasta potencias de 4Kw o un poco ms, puesto que se utilizan
laminaciones comerciales normalizadas e incluso carretes donde colocar los
bobinados. El inters es obtener un transformador de buena regulacin entre
rgimen de plena carga y funcionamiento en vaco, deben proyectarse bobinados
de baja resistencia propia y de baja dispersin mutua. Esto exige que el espesor
radial del carrete sea mucho menor que el lado menor de la seccin del ncleo
(Fig. 1): Se requiere gran seccin de ncleo y bajas perdidas del mismo (hierro de
buena calidad). El diseo resulta en pocas espiras y baja inductancia propia.

Fuente: Consideraciones sobre calculos de transformadores. [en lnea]. Buenos


Aires: Aurover, 2008 [consultado el 25 de octubre de 2009]. Disponible en internet:
http://www.aurover.com.ar/clconline/conscalctraf.htm#1

Para el diseo del transformador, nos debemos fijar en el modelo del mismo el
cual se muestra en la siguiente figura.

116

Circuito equivalente al modelo del transformador.

Fuente: STEPHEN J Chapman. Mquinas Elctricas. Mc Graw Hill. 2 ed. 1997.

Como se muestra en la figura anterior, el transformador depende de varios


parmetros como lo son la inductancia magnetizante (Lm), las inductancias de
dispersin (Lp y Ls) y la resistencia interna de cada devanado (Rp y Rs), a es la
relacin de espiras. Para hallar dichos valores obteniendo la mxima transferencia
de potencia, nos basamos en la referencia [25], en donde se demuestra que la
inductancia magnetizante es directamente proporcional al nmero de vueltas y a la
permeabilidad magntica del material del ncleo:
Lm KN 1

Rp
Lm

En donde K es la permeabilidad magntica del material del ncleo. Los ncleos de


transformadores pueden ser de ferrita, hierro o de aire. La permeabilidad
magntica del vaco es uo = 4. 10-7 y con base en esta, y la permeabilidad
relativa, se calcula la permeabilidad absoluta de la ferrita o el hierro. Para este
trabajo se escoge la ferrita por que tienen una alta permeabilidad magntica, lo
cual les permite almacenar campos magnticos con ms fuerza que el hierro,
adems ayuda a mitigar el ruido EMI [20].
B es una constante de induccin, se sac de pruebas de laboratorio a varios
transformadores de diferentes relacin de espiras y no variaba mucho, por lo tanto
sacaron un promedio de este que es B = 4.123 10 -4(/uH1/2) [25] que es el mismo
para cualquier relacin de transformacin.
Basndonos en un ejemplo del libro Electricidad y magnetismo de Raymond A.
Serway [33]. En el cual proponen un transformador de aislamiento de relacin 1:1
con ncleo de hierro de permeabilidad magntica 10uo , la permeabilidad
117

magntica de la ferrita es 10 veces mayor a la del vaco, es decir 4.10-6 [33],


entonces, para este trabajo,

Lm 10(4 .10 6 )(2752 ) 10H


Se despeja el valor de Rp

B Lm Rp

( 4.123 * 10 4 ) 10 Rp 1.3m

Debido a que la relacin de transformacin es 1:1, se asume el mismo valor de


resistencia interna para ambos devanados.
Ahora para calcular el nmero de vueltas del transformador, nos basamos en el
software libre Transformer Calculation de Silvio Klaic [21] el cual nos permite
conocer el nmero de vueltas necesarias y el espesor del alambre a partir de
datos como el voltaje de entrada y salida y la corriente de trabajo. La interfaz del
software se muestra en la siguiente figura.

Interface del software Transformer Calculation

118

Como se ve en la anterior, para las especificaciones del transformador de esta


tesis, se necesitan 275 vueltas en cada devanado con un espesor de alambre de
2mm aproximadamente. Ahora, de acuerdo con [8] se puede calcular una
inductancia de dispersin
Rp

Ld
T

En donde,
Rp es la resistencia interna del devanado primario.
Ld es la inductancia de dispersin en el devanado primario.
T es el periodo de trabajo del transformador.
Despejando Ld de la ecuacin anterior, se halla de una forma aproximada el valor
de inductancia de dispersin para el devanado primario; como el transformador es
relacin 1:1, se asume el mismo valor para el devanado secundario.
Rp.T Ld

(1.3).

1
0.021H 21mH
60Hz

Se debe tener en cuenta que el valor de las inductancias y resistencias depende


del tamao del transformador, de los materiales utilizados en su fabricacin, del
tipo de transformador y de sus aplicaciones (potencia, medida, inversores, fuentes
conmutadas, pulsos, etc). Estos parmetros afectan la eficiencia, la regulacin y la
respuesta frecuencial del transformador. Desde el punto de vista de las
interferencias, por tratarse de una carga inductiva, adems de los transitorios de
conexin y desconexin, los parmetros ms preocupantes son: las resistencias,
que determinan el calentamiento, y por lo tanto, la existencia de gradientes de
temperatura en las proximidades; las inductancias de dispersin que determinan el
flujo magntico no abarcado por el ncleo y por lo tanto susceptible de interferir
con otros circuitos y sobre todo, la capacidad entre primario y secundario que
acopla al secundario las tensiones en modo comn existentes en el primario y
viceversa. Para evitar el sobrecalentamiento hay que trabajar con materiales de
alta permeabilidad y alta resistividad y con flujos por debajo de la saturacin. Esto
es especialmente importante en el momento de conexin del transformador en el
que se pueden drenar corrientes muy intensas que hay que limitar. Los flujos de
dispersin se reducen mediante ncleos toroidales de hierro o ferrita [4]

119

Anexo E. Diseo de conversor boost

Lo primero que se halla es el ciclo til necesario que lo despejamos de la ecuacin


de salida del boost:
Vs
170V
D 1
1
0.47
Vo
320V
La impedancia de salida necesaria para 1200W es

Vo2 3202 (V )
Z

85
Po 1200(W )
Como se quiere una carga RL, se debe usar la ecuacin siguiente, para hallar un
valor de Lcarga de tal forma que la impedancia sea 75; usando dicha ecuacin, se
encuentra un valor de 20mH para la inductancia de carga.

Z ( R 2 (2fL)2 )
Ahora se procede a calcular el valor de inductancia mnimo para el inductor Li en
serie con la fuente,
L min

D (1 D ) 2 Z 0.47(0.532 )(85)

224uH
2 Fc
50000

Como este valor es el mnimo necesario para garantizar una corriente permanente
de tal forma de hacerlo trabajar en MCC, entonces se escoge un inductor de 2mH
con el fin de mitigar un poco el rizado de corriente en el inductor. La corriente
media en el inductor es
IL

170
7.12 A
(1 0.47) 2 85

Lo que falta por hallar es el valor del condensador. Aqu no es necesario


despejarlo con la misma ecuacin que se usa en el caso de un conversor DC/DC.
La funcin del condensador en AC/AC es mitigar los armnicos que se presentan
a la salida inherentes a la conmutacin, entonces para esto, lo que se hace es
escoger un valor de condensador de tal forma que tenga alta impedancia para la
frecuencia fundamental y baja impedancia para la frecuencias altas. Se halla Xc
con la ecuacin 44 para un Condensador de 47uf y frecuencia de 25KHz

120

Xc

1
0.135
2 (25000 Hz )(47uf )

Ahora se halla Xc para un condensador de 47uf y frecuencia de la red (60Hz)


1
Xc
56
2 (60 Hz )(47uf )
Como se aprecia, una impedancia de 56 es muy grande comparada con una de
0.135. Entonces, para este diseo, se garantiza que con 47uf los armnicos se
van a filtrar por el condensador y no van a irse hacia la carga, de esta forma se
logra una seal sinusoidal ms limpia en la salida

121

Anexo F. Linealizacin aproximada con series de Taylor

En el anlisis del comportamiento de sistemas dinmicos no lineales, el mtodo de


linealizacin aproximada ser til en la vecindad de su punto de equilibrio, siempre
y cuando las perturbaciones que afectan la evolucin del sistema sean
suficientemente pequeas.
Supongamos que tenemos un sistema dinmico no lineal el cual consiste de una
variable de entrada (u) y de un variable de salida (x) representado por la siguiente
ecuacin,
(1)

dx
f ( x, u )
dt

y que deseamos aproximar la conducta de este sistema no lineal por la de un


sistema lineal alrededor de un punto xs el cual es un estado estacionario del
sistema representado por la ecuacin anterior. Expandiendo el lado derecho de la
ecuacin (el cual contiene el trmino no lineal) en series de Taylor hasta la
primera derivada,
f
f
f ( x, u ) f ( x s , u s )
x xs
u u s T .O.S
s
s
s
s
x x ,u
u x ,u

(2)

Donde T.O.S representa los trminos de orden superior en la expansin de Taylor.


Dado que la expansin se realiza alrededor del estado estacionario (xs,us ), esto
significa que la ecuacin 1 se puede reescribir como,
dx s
f (x s ,u s ) 0
dt

122

(3)

En virtud de que xs es constante, el lado izquierdo de la ecuacin 1puede


reescribirse como:
dx d ( x x s ) d x

dt
dt
dt

(4)

Donde,
x x xs

representa el alejamiento o desviacin de la variable x del estado estacionario xs.


Es bastante comn emplear variables de desviacin cuando se analiza la
conducta de sistemas lineales. En trminos de variables de desviacin la ecuacin
original a linealizar (1) se reescribe como:
(5)

dx
f ( x, u )
dt

Sustituyendo f(x,u) obtenida de la expansin de Taylor 2 en la ecuacin anterior (y


recordando que f(xs,us) = 0),

d x f
f

x
u
dt x x s ,u s
u x s ,u s

(6)

Donde se han despreciado los trminos de orden superior. Es necesario eliminar


los T.O.S para poder obtener una aproximacin lineal tanto en la ecuacin de
estado perturbado como en la ecuacin de salida [31].
Lo anterior se aplica para el caso univariable. El caso multivariable es una
generalizacin del caso univariable. El procedimiento para linealizar el sistema de
ecuaciones diferenciales ordinarias no lineales.
dx1
f 1( x1 ,... x n , u1 ,...um )
dt

(7)

.
.
.
dx n
fn ( x1 ,... x n , u1 ,...um )
dt

Expandiendo el lado derecho del anterior sistema de ecuaciones diferenciales


alrededor de un estado estacionario ps denotado por:
123

(8)

p s [ x s 1 ,... x s n , u s 1... u s m ]T

Tenemos:
f 1
f 1
f 1
x n x s n
x1 x s 1 ...
u1 u s 1 ...
f 1 f ( p s )
x1 p s
u 1 p s
x n p s

f 1
u m u s m T .O.S

u n p s

.
.
.

(9)

fn
fn
x1 x s 1 ...
fn fn ( p s )
x1 p s
x n

fn

u n

fn
x n x s n
u1 u s 1 ...
u 1 p s
ps

u m u s m T .O.S
ps

El sistema original de ecuaciones diferenciales se reescribe como:


dx1 d ( x1 x s 1 ) d x1

f 1( x1 ,... x n , u1 ,..., u m )
dt
dt
dt

.
.
.

(10)

dx n
d ( x n x s n ) d x n1

f 1( x1 ,... x n , u1 ,..., u m )
dt
dt
dt

Sustituyendo la ecuacin anterior, todas las funciones fi que se expandieron


anteriormente en series de Taylor (despreciando los trminos de orden superior):
f 1
f 1
f 1
d x1 f 1
x n
u m
x1 ...
u1 ...

dt
x1 p s
u 1 p s
x n p s
u m p s

.
.

(11)
124

.
fn
d x n fn
x1 ...

dt
x1 p s
x n

fn
fn
x n
u1 ...
u 1 p s
ps
u m

u m
ps

Donde debe recordarse que la expansin se realiz alrededor del estado


estacionario ps, entonces,
f ( ps ) 0

El anterior sistema de ecuaciones puede escribirse en notacin matricial de la


siguiente forma:
dx
dt

(12)

A x Bu

Donde,
d x1
x1
u 1
dt


.
.

dx
u .
. x .
dt

.
.

,
,
xn
u n
d xn


dt

f 1

x
1

ps

A
.

.
fn

x 1 p
s

f 1

. . .
x n p s

. . .
.

. . .
.

. . .
.
fn

. . .
x n p s

f 1

u
1

ps

B
.

.
fn

u 1 p
s

C
x1 p s

y
. . .
x n

125

f 1
. . .
u m
. . .
.
. . .
.
. . .
.
fn
. . .
u m



p s



ps



p s

Linealizacin del modelo del convertidor ac/ac tipo boost.

Debido a que la tensin de entrada es sinusoidal, sta es variable en el tiempo, al


realizar el modelo se obtendr coeficientes en la matriz de estados, variables en el
tiempo, es decir, que se tendr un modelo no lineal del conversor AC/AC. Para
evitar los coeficientes no lineales, se trabaja entonces con su valor mximo, el cual
es constante en el tiempo. Para sta tesis se utiliza la transformacin DQ
monofsica que se explica en el anexo G, con el propsito de trabajar con el valor
mximo de la tensin de entrada. Entonces, se modela el conversor boost como si
fuera DC/DC.
Las ecuaciones (29), (39), (40) y (41) que describen el comportamiento dinmico
del conversor BOOST se toman del capitulo 4.3.3
f1

R
V
Rc R L
di L
1
Rc
1
Rc
VC (t )
i L (t )
i r (t ) VC (t )d (t )
i L (t )d (t ) C i r (t )d (t ) i
dt
L
L
L
L
L
L
L
f2

dVC
1
Rc
Rc
1
1

VC (t )
i L (t )
i r i L (t ) i L (t )d (t )
dt
RrC
RrC
RrC
C
C

f3

R
( R Rr )
di r
1

VC (t ) c i L (t ) c
i r (t )
dt
Lr
Lr
Lr
y Vo Vc (i L ir ) Rc

Basados en que todos los valores son constantes, se hace la siguiente sustitucin:
x1 I L

x 2 Vc

x3 I r

Donde x1 y x2 representan las variables normalizadas de la corriente de entrada a


la bobina L del convertidor y la tensin de salida del condensador C,
respectivamente, x3 es la corriente en la carga. Vi es el valor numrico
normalizado de la fuente externa de tensin. La variable d(t), denota la funcin de
posicin del interruptor, la cual, acta como variable de control, tomando valores
en el conjunto discreto {0,1}; esta seal es sintetizada mediante transistores y
diodos. Este tipo de sistema con seal de control binaria (solo son posibles dos
acciones) pertenece a una clase de sistemas llamados sistemas de estructura
variable.
Los circuitos convertidores de potencia constituyen sistemas bilineales por
excelencia [31]. Se dice que un sistema de control es bilineal si, al considerar el

126

control y el estado independiente, el sistema es lineal respecto al control u y es


lineal respecto al estado x pero no es lineal en ambos simultneamente.
R
V
Rc R L
dx1
1
Rc
Rc
1

x1 x 2
x3
x1 d (t ) x 2 d (t ) C x 3 d (t ) i
dt
L
L
L
L
L
L
L
dx 2 Rc
1
1
Rc
1

x1
x2
x 3 x1 d (t )
dt RrC C
RrC
RrC
C

dx 3 Rc
( R Rr )
1

x1
x2 c
x3
dt
Lr Lr
Lr
y Vo x1 Rc x 2 x3 Rc

(13)
(14)
(15)
(16)

Consideremos el modelo promedio con variables normalizadas del convertidor


tipo boost regulado, mediante un esquema de conmutacin por modulacin de
ancho de pulsos.

Rc R L

R
V
1
Rc
Rc
1
z2
z3
z1 u z 2 u C z 3 u i
L
L
L
L
L
L
L

Rc
1
1
Rc
1

z2
z1
z2
z 3 z1 u
RrC
C
RrC
RrC
C

z1

z1

Rc
( R Rr )
1
z1
z2 c
z3
Lr Lr
Lr
y Vo z1 Rc z 2 z 3 Rc

z3

(17)
(18)
(19)
(20)

Donde z1 representa la corriente normalizada promedio de entrada, z2 es la


tensin normalizada de salida, z3 representa la corriente normalizada de la carga.
La seal de control d(t), de tipo discontinuo, se remplaza aqu por la funcin
continua u denominada relacin de trabajo del interruptor electrnico. La variable
de control u satisface la condicin 0 u 1
Es necesario sacar el punto de equilibrio promedio del sistema para linealizar el
modelo alrededor de este punto con una relacin de trabajo constante u = U,
resolviendo el siguiente sistema de ecuaciones no lineales.

0 EZ 1 FZ 2 GZ 3 GZ 1U FZ 2U GZ 3U H
0 L M Z 1 KZ 2 LZ 3 MZ 1U

0 NZ 1 PZ 2 QZ 3

127

(21)
(22)
(23)

Donde,
E

Rc R L
L

1
C

1
L

Rc
Lr

Rc
L

Vi
L

1
Lr

( Rc Rr )
Lr

1
RrC

Rc
RrC

Se tiene un sistema de 3 ecuaciones con 3 incgnitas, se pasa a despejar Z1, Z2, y


Z3 para encontrar los valores normalizados de corriente y voltaje.
Despejamos Z1 de (21)

0 ( E GU ) Z 1 FZ 2 GZ 3 FZ 2U GZ 3U H
Z1

(24)

FZ 2 GZ 3 FZ 2U GZ 3U H
E GU

Ahora, se remplaza Z1 en (22)

FZ 2 GZ 3 FZ 2U GZ 3U H
FZ 2 GZ 3 FZ 2U GZ 3U H
0 J
KZ 2 LZ 3 MU

E GU
E GU

(25)

Tambin se remplaza Z1 en (23)

FZ 2 GZ 3 FZ 2U GZ 3U H
0 N
PZ 2 QZ 3
E GU

(26)

Se despeja Z2 de (25)

Z 2 ( JF JFU EK GU MFU MFU 2 ) JGZ 3 JGZ 3U JH MUGZ 3 MGZ 3U 2 H


0
LZ 3
E GU

Z 3 ( L( E GU ) JG JGU MGU MGU 2 ) JH H


JF JFU EK GU MUF MFU

Se hace el siguiente remplazo para simplificar la ecuacin:

128

Z2

(27)

(28)

Z 3 R JH H
Z2
S
Donde

R=

L( E GU ) JG JGU MGU MGU 2

S = JF JFU EK GU MUF MFU 2


Ahora se remplaza Z2 en (26) para hallar Z3
Z 3 R JH H

Z R JH H
F
GZ 3 FU 3
GZ 3U H
S
S
Z 3 R JH H

0 N
QZ 3
P
E GU
S

(29)

NFZ 3 R NFJH NFH GZ 3 S NFUZ 3 R NFUJH NFUH NSGZ 3U

NH
S
S

0
E GU

(30)

PR PJH PH
Z3
QZ 3

S
S

Z ( NFR GS NFUR NSGU ) NFJH NFH NFUJH NFUH SNH


0 3
S E GU

(31)

PR PJH PH
Z3
QZ 3

S
S
PH PJH NFJH NFH NFUJH NFUH SNH

S
S ( E GU )

(32)

Z 3 ( SNFR GS 2 NFURS NS 2 GU SPR( E GU ))

QZ 3

S 2 E GU

PH PJH NFH J 1 UJ U ) SNH

S
S ( E GU )
Z 3 ( SNFR GS 2 NFURS NS 2 GU SPR( E GU ) QS 2 ( E GU ))

S 2 E GU

129

(33)

PH PJH NFH J 1 UJ U ) SNH 2

S ( E GU )

S
S ( E GU )

Z3
2
2
( SNFR GS NFURS NS GU SPR( E GU ) QS 2 ( E GU ))

PH PJH )(S ( E GU )) SNFH J 1 UJ U ) S 2 NH


2

( SNFR GS NFURS NS GU SPR( E GU ) QS ( E GU ))

Z3

(34)

(35)

Vemos que Z3 es una constante que depende de U, por lo tanto, se puede hacer el
siguiente remplazo,

(36)

Z 3 (U ) K 2 (U )

Donde
K 2 (U )

PH PJH )(S ( E GU )) SNFH J 1 UJ U ) S 2 NH


2

(37)

( SNFR GS NFURS NS GU SPR( E GU ) QS ( E GU ))

Ahora remplazamos Z3 en (25)


FZ GK2 (U ) FZ2U GUK2 (U ) H
0 J 2
KZ2 LK2 (U )
E GU

(38)

FZ GK2 (U ) FZ2U GUK2 (U ) H


MU 2

E GU

Z ( JF JFU MUF FMU2 KE KGU) JGK2 (U ) GUK2 (U ) JH MUGK2 (U ) GMU2 K 2 (U ) HMU

0 2

E GU

LK 2 (U )
Z2

LK2 (U )(E GU) JGK2 (U ) GUK2 (U ) JH MUGK2 (U ) GMU2 K 2 (U ) HMU

(39)

JF JFU MUF FMU KE KGU

Se remplaza por
Z 2 K 3 (U )

130

(40)

Donde
K3 (U )

LK2 (U )(E GU) JGK2 (U ) GUK2 (U ) JH MUGK2 (U ) GMU2 K 2 (U ) HMU


JF JFU MUF FMU2 KE KGU

Ya teniendo Z3 = K2 (U)
despejar Z1.

(41)

Z2 = K3 (U), se remplaza los dos valores en (21) para

0 EZ 1 FK 3 (U ) GK 2 (U ) GZ 1U FUK 3 (U) GUK 2 (U ) H

(42)

FK 3 (U ) GK 2 (U ) FUK 3 (U) GUK 2 (U ) H Z 1 ( E GU )

(43)

FK 3 (U ) GK 2 (U ) FUK 3 (U) GUK 2 (U ) H


Z1
E GU

(44)

Hacemos Z1 = K4 (U)
Donde
(45)

FK 3 (U ) GK 2 (U ) FUK 3 (U) GUK 2 (U ) H


K 4 (U )
E GU

Hasta aqu se ha encontrado el punto de equilibrio del conversor boost

Z 1 K 4 (U )

Z 2 K 3 (U )

Z 3 (U ) K 2 (U )

Ahora se procede a linealizar el sistema alrededor del punto de equilibrio:

f 1 ( Z ,U )
Z 1

f 1 ( Z ,U )

E GU

Z 2

f 2 ( Z ,U )
Z 1

J MU

f 3 ( Z ,U )
Z 1

F (U 1)
f 2 ( Z ,U )
Z 2

f 3 ( Z ,U )
Z 2

131

f 1 ( Z ,U )
Z 3
K

G (1 U )
f 2 ( Z ,U )
Z 3

f 3 ( Z ,U )
Z 3

La matriz A resulta de la siguiente forma

E GU
A J MU
N

F (U 1) G (1 U )
K
L
P
Q

Rc(U 1) R L

L
Rc U

RrC C
Rc

Lr

U 1
L
1
RrC
1
Lr

Rc(U 1)

Rc

RrC
Rc Rr

Lr

Ahora se procede a hallar la matriz B


f 1 ( Z ,U )
U

Z 1(U ), Z 2 (U ), Z 3(U )

GZ 1 FZ 2 GZ 3 GK 4 (U ) FK 3 (U ) GK 2 (U )

f 2 ( Z ,U )
U

Z 1(U ), Z 2 (U ), Z 3(U )

MZ 1 MK 4 (U )

f 3 ( Z ,U )
U

Z 1(U ), Z 2 (U ), Z 3(U )

De acuerdo a esto, la matriz B resulta,

GK 4 (U ) FK 3 (U ) GK 2 (U )

B
MK 4 (U )

Ahora hallamos la ecuacin de salida linealizada.

y h( x(t )) Vc I L Rc I r Rc
y ( Z ) Z 2 Z 1 Rc Z 3 Rc

( Z ,U )

Z 1

Z 1(U ), Z 2 (U ), Z 3(U )

Rc

( Z ,U )

Z 2

Z 1(U ), Z 2 (U ), Z 3(U )

132

( Z ,U )

Z 3

Z 1(U ), Z 2 (U ), Z 3(U )

Rc

La matriz de salida es

y Rc 1 Rc

El modelo del conversor Boost AC/AC linealizado alrededor del punto de


equilibrio, queda de la siguiente forma:


Z 1 E GU
Z J MU
2
Z 3 N

F (U 1) G (1 U ) Z 1 GK 4 (U ) FK 3 (U ) GK 2 (U )
U
K
L Z 2
MK 4 (U )

P
Q Z
0
3

Z 1
y Rc 1 Rc Z 2
Z
3
Recordemos que todas las variables son constantes gracias a la transformacin DQ monofsica que se explica en el anexo G.

133

Anexo G. Transformacin DQ monofsica para hallar valor mximo [23].

El valor mximo ( Vs _ Max ), es una seal de voltaje DC, que se obtiene a partir de
la seal AC. Esta seal DC se encontrar dentro de un rango permitido, siempre y
cuando la seal AC no sufra fallas como SAGS, SWELLS o FLICKERS. En el
momento en que la seal DC este fuera del rango permitido, se debe compensar
de alguna forma la falla ocurrida en la seal AC. Una forma de compensar el
voltaje es por medio de la corriente mxima pico ( Is _ Max ), la cual se obtiene a
partir del Vs _ Max . Por otro lado existen mtodos para compensar las fallas de la
seal de voltaje, los cuales consisten en adicionar o sumar los voltajes que
necesitan compensarse en la seal principal. El mtodo ms sencillo para calcular
el Vs _ Max se denomina DQ monofsico. La teora de este se basa en obtener
una seal DC de magnitud uno la cual equivale al voltaje pico de la seal AC,
considerando que el voltaje en AC es una onda sinusoidal ideal. Para disear el
DQ monofsico se debe construir una seal imaginaria a partir del voltaje AC
llamada seal real las cuales se observan en las ecuaciones (23) y (24).

Vr (t ) Vs _ MaxSinwt

(23)

Vi(t ) Vs _ MaxCoswt

(24)

Donde Vr (t ) es la parte real de Vs(t) y es equivalente al voltaje AC de la red,


Vi (t ) es la seal imaginaria obtenida al realizar la transformacin del DQ, este

seal es fcil de utilizar. Sin embargo es muy importante que la seal real se
produzca en primer lugar en el dominio del tiempo respecto a un imaginario en
orden para construir as la seal digital imaginaria, la transformacin DQ es
definida como:

Vd Sin
Vq Cos

Cos Vr
Sin Vi

(25)

En donde = wt y w=377rad/seg.
Al realizar la operacin de la matriz nos da como resultado la siguiente expresin:

134

Vd (Vr ) Sin (Vi)Cos


Vq (Vr )Cos (Vi) Sin

(26)

Al reemplazar Vr (t ) de la ecuacin (23) y Vi (t ) de la ecuacin (24), en la (26)


nos da como resultado la siguiente expresin:

Vd (Vs _ MaxSin ) Sin (Vs _ MaxCos )Cos


Vd Vs _ Max( Sin2 Cos 2 )
Vd Vs _ Max
Vq Vs _ MaxSin (Cos ) Vs _ MaxCos ( Sin )
Vq 0

135

(27)

También podría gustarte