Está en la página 1de 23

Universidad Internacional del Ecuador

FACULTAD DE INGENIERA AUTOMOTRIZ


INGENIERA EN MECNICA AUTOMOTRIZ, INGENIERA EN ELECTRNICA AUTOMOTRIZ

Consulta
Nombre: David Caldern
Materia: Sistemas Digitales I
Semestre: Septiembre - Enero 2014
Fecha: 2014-01-17

FLIP FLOPS
Introduccin
Todos los circuitos digitales utilizan datos binarios para funcionar correctamente, los circuitos estn
diseados para contar, sumar, separar, etc. los datos segn nuestras necesidades, pero por el tipo
de funcionamiento de las compuertas digitales, los datos presentes en las salidas de las mismas,
cambian de acuerdo con sus entradas, y no hay manera debitarlo, si las entradas cambian, las salidas
lo harn tambin, entonces Cmo podemos hacer para mantener un dato o serie de datos en un
lugar hasta que los necesitemos? La respuesta son las memorias, bsicamente son sistemas que
pueden almacenar uno o ms datos evitando que se pierdan, hasta que nosotros lo consideremos
necesario, es decir, pueden variar su contenido a nuestra voluntad. El corazn de una memoria son
los Flip Flops, este circuito es una combinacin de compuertas lgicas, A diferencia de las
caractersticas de las compuertas solas, si se unen de cierta manera, estas pueden almacenar datos
que podemos manipular con reglas preestablecidas por el circuito mismo. Esta es la representacin
general par un Flip Flop (comnmente llamado "FF")

Los FF pueden tener varias entradas, dependiendo del tipo de las funciones internas que realice, y
tiene dos salidas:

Las salidas de los FF slo pueden tener dos estados (binario) y siempre tienen valores contrarios,
como podemos ver en la siguiente tabla:

Las entradas de un FF obligan a las salidas a conmutar hacia uno u otro estado o hacer "flip flop"
(Trmino anglosajn), ms adelante explicaremos cmo interactan las entradas con las salidas para
lograr los efectos caractersticos de cada FF.El FF tambin es conocido como:
"Registro Bsico" trmino utilizado para la forma ms sencilla de un FF.
"Multivibrador Biestable" trmino pocas veces utilizado para describir a un FF.
Registro Bsico construido con compuertas NAND
Este es el circuito ms sencillo y bsico de un FF, Puede ser construido a partir de dos compuertas
NAND o dos compuertas NOR con dos entradas, a continuacin se ilustra con compuertas NAND, y
es denominado "Registro Bsico NAND" .La forma de conectarlas es la siguiente: Se deja libre una de
las entradas de cada compuerta, las sobrantes son conectadas independientemente de manera
cruzada hacia la salida de la compuerta contraria. Quedando la conexin de la siguiente manera:



La siguiente tabla muestra el estado inicial del Registro Bsico NAND, cuando sus entradas se
encuentran en ALTO (Estado de reposo del FF). Para comenzar la accin de "Flip Flop" ser necesario
enviar a BAJO alguna de las entradas, con su correspondiente cambio de estado a la salida.

La siguiente tabla nos muestra los diferentes cambios de las salidas, segn cada seleccin de
entradas (La "X" significa que no importa el estado en el que se encuentren en ese momento):


Siguiendo los datos de la tabla podemos resumir que:
Si SET y RESET estn en ALTO, el FF mantiene sus salidas en el estado actual.
Si RESET recibe un pulso BAJO, las salidas son forzadas a Q = 0 y /Q = 1
Si SET recibe un pulso BAJO, las salidas son forzadas a Q = 1 y /Q = 0
Si las dos entradas reciben pulsos BAJOS, las salidas son forzadas a Q = 1 y /Q = 1
Este ltimo cambio normalmente se considera como no deseado, ya que el principio bsico es que
las salidas siempre estn invertidas (Aunque en ciertos casos especiales, nosotros podramos utilizar
este efecto).Entonces, la tabla de verdad del Registro Bsico NAND es la siguiente:

Registro Bsico con compuertas NOR
La conexin del Registro Bsico NOR es exactamente igual al del Registro NAND, pero los cambios en
sus salidas son completamente diferentes, A continuacin se ilustran las dos tablas de verdad para
hacer el comparativo entre una y otra.Tabla de verdad del Registro Bsico NOR

Tabla de verdad del Registro Bsico NAND

Agregando pulsadores u otras compuertas en las entradas, los usos ms comunes para el Registro
Bsico NAND o NOR son:
Eliminadores de ruido para pulsadores mecnicos.
Sistemas de Encendido (ON)/Apagado (OFF) con dos pulsadores para diversos circuitos digitales y/o
anlogos.
Sensores de movimiento mecnico, (Fin o Inicio de carrera de una puerta por ejemplo).
Control Digital de otros circuitos.
Y otras 373929273736 Aplicaciones dependiendo de tu IMAGINACIN.
Seales de Reloj (CLOCK) y FF controlados por Reloj
Hasta ahora hemos visto que un Registro Bsico tiene dos variables de entrada y responde de
manera predecible a ellas, pero Qu podamos hacer si necesitramos otra variable de control?
Cmo podramos hacer que el registro acte cuando sea conveniente para nosotros, y no al
momento de cambiar sus entradas? Todos los sistemas digitales tienen bsicamente dos formas de
operacin:
Operacin en modo ASNCRONO. En este modo, las salidas cambian de manera automtica
siguiendo las rdenes de las entradas.
Operacin en modo SNCRONO. En este modo, las salidas cambian siguiendo las ordenes de las
entradas, pero slo cuando una seal de control, llamada RELOJ (CLOCK, CLK, CP) es aplicada al
registro.
Los circuitos digitales ASNCRONOS son muy complicados en lo que a diseo y reparacin se refiere,
ya que, al encontrarnos con una falla en un circuito de 10 registros interconectados, el rastreo de los
cambios en todas las compuertas nos provocara un severo dolor de cabeza. Los circuitos digitales
SNCRONOS son ms fciles de disear y reparar, debido a que los cambios de las salidas
son eventos "esperados" (ya que fcilmente podemos saber el estado de cada una de las entradas o
salidas sin que estas cambien repentinamente), y los cambios dependen del control de una sola
seal aplicada a todos los registros, la seal de RELOJ. La seal de reloj es una onda cuadrada o
rectangular, los registros que funcionan con esta seal, slo pueden cambiar cuando la seal de reloj
hace una transicin, Tambin llamados "flancos", por lo tanto, la seal de reloj slo puede hacer 2
transiciones (o Flancos):
La Transicin con pendiente positiva (TPP) o Flanco positivo (FP).Es cuando la seal de reloj cambia
del estado BAJO al estado ALTO.
La Transicin con pendiente negativa (TPN) o Flanco Negativo (FN).Es cuando la seal de reloj
cambia del estado ALTO al estado BAJO.
Principales caractersticas de los FF sincronizados por Reloj.
Todos los FF cuentan con una entrada con el rtulo (RELOJ, CLOCK, CLK, CP) y un distintivo crculo
para saber como debe ser la seal activa. Los que no tienen crculo, son sincronizados por una TPP,
los que cuentan con un crculo son sincronizados por una TPN.
Todos los FF cuentan con entradas de control, que determinan el cambio que van a tener las salidas,
al igual que en los Registros bsicos, pero estas entradas no pueden modificar las salidas
arbitrariamente, slo podrn hacerlo cuando el FF reciba su transicin activa.
Resumiendo, Las entradas de control del FF nos permiten saber cmo van a cambiar las salidas, pero
slo la seal de Reloj podr hacer efectivo este cambio.
Constantes de tiempo de Establecimiento y de Retencin
La siguiente figura nos indica cmo estn compuestos los dos detectores de Transiciones.
Detector de Transiciones Positivas (TPP)
















Circuito Detector de Transiciones Negativas


Las figuras nos muestran del lado izquierdo de la lnea verde el pin de entrada de Reloj del FF, el lado
derecho nos muestra el circuito interno del FF.La diferencia entre CK y CK se debe al retraso en la
propagacin que cualquier compuerta tiene, desde que se aplica una seal en la entrada, hasta que
esta se refleja en al salida. Esta diferencia en tiempo, nos permite obtener un pulso de salida
solamente cuando ocurre la transicin para la que estn diseados, y por lo tanto accionar el FF.
Registro Bsico NAND tipo Sncrono
Dejaremos de lado la conexin interna de los FF, ya que para nuestra comodidad, todos podemos
encontrarlos en forma de circuitos integrados, as que nos ocuparemos solamente de su
funcionamiento. La siguiente figura nos muestra un Registro Bsico Sincronizado por una seal de
reloj. Es la forma ms bsica de un FF controlado por la seal de reloj. (La falta del crculo en la
entrada de CLK significa que slo ser activa en los TPP) (Slo se muestra la salida Normal (Q), ya que
como dijimos, la salida negada siempre es inversa)


Flip Flop tipo "J-K"
Este FF es uno de los ms usados en los circuitos digitales, y de hecho es parte fundamental de
muchos circuitos avanzados como contadores y registros de corrimiento, que ya vienen integrados
en un chip.Este FF cuenta con dos entradas de datos J y K, su funcin es en principio la misma que el
Registro bsico NAND o NOR, pero con la diferencia que la condicin en las entradas J = 1, K = 1, a
diferencia del Registro NAND, que generara una salida errnea o no deseada, en un FF J-K, obliga a
las salidas a conmutar su estado al opuesto (Toggle) a cada pulso del reloj. Esto lo convierte en un
tipo de FF muy verstil.Tabla de verdad de un FF tipo J-K sncrono.


Observando la tabla de verdad observamos los cambios que provoca en sus salidas este FF:
Si J y K = 0, no hay cambios en las salidas.
Si J = 1, y K = 0, se forzan las salidas a Q = 1, /Q = 0
Si J = 0, y K = 1, se forzan las salidas a Q = 0, /Q = 1
Si J = 1, y K = 1, las salidas conmutan su estado hacia el siguiente a cada pulso del reloj (Toggle)
Flip Flop tipo "D" (Datos, Data)
A diferencia de los FF tipo J-K, el FF tipo "D" (Datos, Data) slo cuneta con una entrada para hacer el
cambio de las salidas. A cada pulso del reloj (dependiendo si el FF utiliza una TPP o una TPN) el
estado presente en la entrada "D" ser transferido a la salida Q y /Q. Tabla de verdad de un FF tipo
"D"

Una de las aplicaciones de mayor uso para este tipo de FF es al de la transferencia de datos de forma
paralela, conectando varios FF tipo "D" a X nmero de bits, podemos hacer que la informacin de
todos los bits pase inmediatamente a la salida de cada FF con slo un pulso de reloj.

FLIP-FLOPS RS
Este es el flip - flop bsico, su smbolo es el siguiente:

Figura 1: Smbolo lgico de un flip-flop SR
El flip-flop tiene dos entradas R (reset) y S (set), se encuentran a la izquierda del smbolo. Este flip-
flop tiene activas las entradas en el nivel BAJO, lo cual se indica por los circulitos de las entradas R y
S. Los flip-flop tienen dos salidas complementarias, que se denominan Q y 1, la salida Q es la salida
normal y 1 = 0.
El flip-flop RS se puede construir a partir de puertas lgicas. A continuacin mostraremos un flip-flop
construido a partir de dos puertas NAND, y al lado veremos su tabla de verdad correspondiente.

Figura 2: Circuito equivalente de un flip-flop SR

Modo de operacin
Entradas Salidas
R S Q Q
Prohibido 0 0 1 1
Set 0 1 1 0
Reset 1 0 0 1
Mantenimiento 1 1 No cambia
Tabla 1: Tabla de verdad del flip-flop SR
Observar la realimentacin caracterstica de una puerta NAND a la entrada de la otra. En la tabla de
la verdad se define la operacin del flip-flop. Primero encontramos el estado "prohibido" en donde
ambas salidas estn a 1, o nivel ALTO.
Luego encontramos la condicin "set" del flip-flop. Aqu un nivel BAJO, o cero lgico, activa la
entrada de set(S). Esta pone la salida normal Q al nivel alto, o 1. Seguidamente encontramos la
condicin "reset". El nivel BAJO, o 0, activa la entrada de reset, borrando (o poniendo en reset) la
salida normal Q.
La cuarta lnea muestra la condicin de "inhabilitacin" o "mantenimiento", del flip-flop RS. Las
salidas permanecen como estaban antes de que existiese esta condicin, es decir, no hay cambio en
las salidas de sus estados anteriores. Indicar la salida de set, significa poner la salida Q a 1, de igual
forma, la condicin reset pone la salida Q a 0.
La salida complementaria nos muestra lo opuesto. Estos flip-flop se pueden conseguir a travs de
circuitos integrados.
FLIP-FLOPS RS SINCRONO
El flip-flop RS es un dispositivo asncrono. No opera en conjuncin con un reloj o dispositivo de
temporizacin. El flip-flop RS sncrono opera en conjuncin con un reloj, en otras palabras opera
sincronizadamente. Su smbolo lgico se muestra a continuacin. Es igual a un flip-flop RS
aadindole una entrada de reloj.

Figura 3: Smbolo de un flip-flop SR sncrono
El flip-flop RS sncrono puede implementarse con puertas NAND. En las siguientes ilustraciones
vemos primero como se aaden dos puertas NAND al flip-flop RS para construir un flip-flop RS
sncrono. Las puertas NAND 3 y 4 aaden la caracterstica de sincronismo al cerrojo RS. La tabla de la
verdad nos muestra la operacin del flip-flop RS sncrono.
El modo de mantenimiento se describe en la primera lnea de la tabla de la verdad. Cuando un pulso
de reloj llega a la entrada CLK (con 0 en las entradas R y S), las salidas no cambian, permanecen igual
que antes de la llegada del pulso de reloj. Este modo tambin puede llamarse de "inhabilitacin" del
FF. La lnea 2 es el modo de reset.
La salida normal Q se borrar cuando un nivel ALTO active la entrada R y un pulso de reloj active la
entrada de reloj CLK. Si R=1 y S=0, el FF no se pone a 0 inmediatamente, esperar hasta que el pulso
del reloj pase del nivel BAJO al ALTO, y entonces se pone a 0. La lnea 3 de la tabla describe el modo
set del flip-flop. Un nivel ALTO activa la entrada S (con R=0 y un pulso de reloj en el nivel ALTO),
poniendo la salida Q a 1.
La lnea 4 de la tabla de verdad es una combinacin "prohibida" todas las entradas estn en 1, no se
utiliza porque activa ambas salidas en el nivel ALTO.

Figura 4: Circuito elctrico equivalente de un flip-flop SR sncrono


Modo de
operacin
ENTRADAS SALIDAS
CLK S R Q Q
Mantenimiento

0 0 No cambia
Reset

0 1 1 1
Set

1 0 1 0
Prohibido

1 1 1 1
Tabla 2: Tabla de verdad de un flip-flop SR sncrono

Las formas de ondas, o diagramas de tiempo, se emplean mucho y son bastante tiles para trabajar
con flip-flop y circuitos lgicos secunciales. A continuacin mostraremos un diagrama de tiempo del
flip-flop RS sncrono.
Las 3 lneas superiores representan las seales binarias de reloj, set y reset. Una sola salida Q se
muestra en la parte inferior. Comenzando por la izquierda, llega el pulso de reloj 1, pero no tiene
efecto en Q porque las entradas R y S estn en el modo de mantenimiento, por tanto, la salida Q
permanece a 0.
En el punto a del diagrama del tiempo, la entrada de set se activa en el nivel ALTO. Despus de cierto
tiempo en el punto b, la salida se pone a 1. Mirar que el flip-flop ha esperado a que el pulso 2 pase
del nivel BAJO a ALTO antes de activar la salida Q a 1. El pulso est presente cuando las entradas R y
S estn en modo de mantenimiento, y por lo tanto la salida no cambia. En el punto C la entrada de
reset se activa con un nivel ALTO.
Un instante posterior en el punto d la salida Q se borra se pone a 0, lo cual ocurre durante la
transicin del nivel BAJO a ALTO del pulso del reloj. En el punto e est activada la entrada de set, por
ello se pone a 1 la salida Q en el punto f del diagrama de tiempos. La entrada S se desactiva y la R se
activa antes del pulso 6, lo cual hace que la salida Q vaya al nivel BAJO o a la condicin de reset.
El pulso 7 muestra que la salida Q sigue a las entradas R Y S todo el tiempo que el reloj est en ALTA.
En el punto g del diagrama de tiempos, la entrada de set (S) va a nivel ALTO y la salida Q alcanza
tambin el nivel ALTO. Despus la entrada S va a nivel BAJO. A continuacin en el punto h, la entrada
de reset (R) se activa por un nivel ALTO. Eso hace que la salida Q vaya al estado de reset, o nivel
BAJO.
La entrada R entonces vuelve al nivel BAJO, y finalmente el pulso de reloj finaliza con la transicin
del nivel ALTO al BAJO. Durante el pulso de reloj 7, la salida estuvo en el nivel ALTO y despus en el
BAJO. Observar que entre los pulsos 5 y 6 ambas entradas R y S estn a 1. La condicin de ambas
entradas R y S en el nivel ALTO, normalmente, se considera un estado prohibido para el flip-flop. En
este caso es aceptable que R y S estn en el nivel ALTO, porque el pulso de reloj est en el nivel BAJO
y el flip-flop no est activado.


Figura 5: Diagrama de pulsos

Entradas asncronas en los FF
Como ya hemos visto, cada FF tiene entradas que pueden cambiar el estado de las salidas de manera
sincronizada con el pulso de reloj, pero Dnde quedaron nuestras entradas asncronas? Es posible
seguir usando estas entradas en FF sncronos?La respuesta est en los FF sncronos de cualquier tipo
que poseen entradas asncronas, esto aade dos pines ms de control a nuestros FF, los conocidos
SET y RESET (Los cules pueden ser activos en el estado ALTO o BAJO). Entonces tenemos FF
sncronos (Tipo "J - K", o tipo "D" ) con un par de entradas que no dependen en ningn momento del
pulso de Reloj. Haciendo una combinacin perfecta de entradas que controlan las salidas de manera
automtica (Asncronas) o controladas por un pulso de reloj (Sncronas).La siguiente figura nos
muestra los smbolos de los FF Tipo "J - K" y "D" con sus entradas asncronas.

Tabla de verdad del FF Tipo "J - K" con entradas Asncronas
(Las "X" significan que no importa el estado actual de esa entrada).(El FF tiene una entrada de Reloj
que funciona con TPP)(Las entradas asncronas con activas ALTAS)

El funcionamiento bsico sigue siendo el mismo, pero las salidas sern forzadas a ALTO o BAJO, si se
activan las entradas Asncronas correspondientes (SET, RESET) sin importar el estado de las entradas
"J - K" o CLK.
Tabla de verdad del FF Tipo "D" con entradas Asncronas
(Las "X" significan que no importa el estado actual de esa entrada).(El FF tiene una entrada de Reloj
que funciona con TPP)(Las entradas asncronas con activas ALTAS)

El funcionamiento bsico sigue siendo el mismo, pero las salidas sern forzadas a ALTO o BAJO, si se
activan las entradas Asncronas correspondientes (SET, RESET) sin importar el estado de la entrada
"D" o CLK.
Con esto termina este pequeo tutorial de Flip-Flops, espero que las dudas hayan encontrado una
respuesta satisfactoria, y que la informacin aqu contenida sea de gran utilidad para el lector.



los flip flop que son celdas binarias que soncapaces de almacenar 1 bit de informacin, los cuales
estn conformados por las entradasdel mismo, las cuales se marcan como J y K y sus salidas
marcadas como Q y Q, ademsestn integrados por una entrada de reloj, as como por el clear y
preset.
1. Contadores asncronos de rizos.
Este tipo de contadores donde cada salida del flip-flop sirve como seal de entrada CLK para el
siguiente flip-flop, estos contadores no cambian de estado todos juntos por lo que se dice que no
estn en sincrona, solo el primer flip flop responde a los pulsos del reloj ,luego para que al segundo
flip-flop responda debe esperar que el primer flip-flop cambie de estado, y para que el tercer flip-
flop se complemente debe esperar que el segundo flip-flop cambie de estado, y as sucesivamente
con los dems flip-flop. Por lo tanto existe un leve retraso entre las respuestas de cada flip-flop, en
los ff modernos este retraso es relativamente corto va del orden de los 10-40nsg.
. En el diagrama lgico se muestra un contador asncrono binario ascendente de tres bits diseado a
partir de flip-flop J-K en configuracin toggle con disparo por borde de subida. Debido a que posee
tres flip-flop, su ciclo bsico se compone de ocho estados que van desde cero (000) hasta siete (111)
en forma secuencial y repetitiva.
Tambin podemos observar la tabla de verdad del circuito donde Q2 es el termino ms significativo.
Q2 Q1 Q0 N de pulsos del reloj
0 0 0 0
0 0 1 1
0 1 0 2
0 1 1 3
1 0 0 4
1 0 1 5
1 1 0 6
1 1 1 7
Asumiendo el estado inicial de la salida en 000, al llegar el primer pulso de reloj Q0 cambia de estado
dicho cambio es detectado por el siguiente flip-flop (J2-K2) el cual considera que este cambio como
su seal de reloj que lo hace cambiar de estado.

.
. En el diagrama lgico se muestra un contador asncrono binario ascendente de tres bits diseado a
partir de flip-flop J-K en configuracin toggle con disparo por borde de subida. Debido a que posee
tres flip-flops, su ciclo bsico se compone de ocho estados que van desde cero (000) hasta siete
(111) en forma secuencial y repetitiva.
Asumiendo el estado inicial de la salida en 000, al llegar el primer pulso de reloj Q0 cambia de estado
dicho cambio es detectado por el siguiente flip-flop (J2-K2) el cual considera que este cambio como
su seal de reloj que lo hace cambiar de estado.
2. Numero MOD.
El contador de la figura anterior, tiene 8 estados diferentes del 000 al 111 por tanto se trata de un
contador de rizos MOD 8, recordamos que el numero MOD siempre es igual al numero de estados
por los cuales pasa el contador en cada ciclo completo antes que se recicle hacia su estado inicial.
El numero MOD lo podemos aumentar, simplemente aumentando el numero de flip-flop al
contador. Es decir el numero MOD es igual a 2N donde N es el numero de flip-flop conectados en la
configuracin anterior.
3. Divisin de frecuencia.
En la figura podemos ver que en el contador bsico cada flip-flop da una forma de onda de salida
que es exactamente la mitad de la frecuencia de la onda de su entrada CLK. Supongamos que los
pulsos de la seal del reloj es de 8Hz, as podemos ver que en la salida del primer flip-flop es de 4 Hz,
la del segundo flip-flop es de 2Hz y l ultimo flip-flop 1 Hz.

4. Contadores con numero MOD <2N
El contador bsico puede ser modificado para producir nmeros MOD menores que 2N permitiendo
que el contador omita estados que normalmente son partes de la secuencia de conteo. Unos delos
mtodos para lograr esto se encuentra en la figura, donde se muestra un contador de rizos de 3 BIT,
descartando la compuerta nand por un momento, podemos observar que el contador es un
contador binario MOD 8 que contara en secuencia de 000 111. Sin embargo la presencia de la
compuerta nand alterara esta secuencia como sigue:
-flop mientras que
las salidas de nand sean altas, no tendr efecto sobre el contador. Sin embargo cuando pase abajo
borrara todos los flip-flop, de manera que el contador pase de inmediato al estado 000.
-flip Q1 y Q0, de manera que la salida
nand pasara bajo siempre que Q1=Q0= 1 esta condicin ocurrir cuando el contador pase por el
estado 101 al 110. El estado bajo en la salida nand inmediatamente borrara el contador al estado
000. una vez que se hallan borrado los flip-flop, la salida de nand retornaran a alto por que la
condicin Q1=Q0= 1 ya no existe.

Q0 Q1 Q2
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
El estado 110 es un estado temporal que se necesita para limpiar el contador.
Aunque el contador pasa por estado 110 solo permanece hay unos cuanto nanosegundos, antes de
reciclarse al 000, de este modo podemos decir esencialmente que este contador cuenta de 000 (0)
101 (5) y se recicla a 000.
En esencia, omite los estados 110 y 111 de manera que solamente pasa por 6 estados diferentes as
se trata de un contador MOD 6.

5. Variacin del numero MOD.
El contador en la figura anterior es un MOD 6 debido a la eleccin de entradas en la compuerta
nand. Se puede obtener cualquier numero MOD que se desee cambiando estas entradas. Por
ejemplo si se emplea una compuerta nand e tres entradas, el contador funcionaria normalmente
hasta llegar al 111, en este punto regresara de inmediato al estado 000. ignorando la excursin
temporal al estado 111 pasara de 000 hasta 110, y luego regresara a 000, produciendo un contador
MOD 7.
6. Contadores de dcadas o contadores BCD.
El contador MOD 10 se conoce tambin como contador de dcadas. De echo un contador de
dcadas es cualquier contador que tenga 10 estados diferentes independientemente de la
secuencia. Estos tambin se denominan contadores BCD para reiterar lo dicho cualquier contador
MOD 10 es un contador de dcadas y cualquier contador de dcadas que cuenta en binario de 0000
a 1001 es un contador BCD.
Los contadores de dcada especialmente los de tipo BCD, se utilizan ampliamente en aplicaciones
donde los pulsos o sucesos van a ser contados y los resultados exhibidos en algn tipo de dispositivo
de visualizacin numrica decimal. Un contador de dcadas a menudo se utiliza tambin para dividir
una frecuencia de pulsos exactamente entre 10.
7. Contadores Asncronos en CI.
Existen varios contadores asncronos en CI, tanto TTL como CMOS. Uno de ello es el TTL 74293. la
figura muestra el diagrama lgico para el 74293. note los siguientes puntos:
-flop J-K con salidas Q0 Q1 Q2 Q3, donde Q0 corresponde al LSB y Q3
MSB. La distribucin de los flip-flops es tal que el LSB se encuentra en el extremo izquierdo para
satisfacer la convencin de que las seales de entrada al circuito aparezcan a la izquierda.
-flops tiene una entrada CP ( pulso de reloj) que es solo otro nombre para la entrada CLK,
se puede tener acceso externo a las entradas de reloj para Q0 Y Q!, marcadas como CP0 Y CP1. la
barras de inversin sobre estas entradas indican que se activan por un TPN.
-flops tiene una entrada asncrona borrar (CD). Estas se encuentran conectadas entre s a
la salida de una compuerta nand de dos entradas MR1 y MR2, donde MR denota reiniciacin
maestra. Ambas entradas MR deben estar altas para borrar el contador y ponerlo en 0000.
-flops Q1,Q2,Q3, ya estn conectados como un contador de rizos de tres BIT, el flip-flops
Q0 no esta conectado internamente a nada. Esto permite que el usuario opte por conectar Q0 a Q1
para formar un contador de 4 BIT o usar Q0 en forma separada si as lo desea.

8. Smbolo IEEE/ANSI para el contador 74293.
El smbolo contiene 3 bloques distintos. El bloque de la parte superior es el bloque comn de
control. La anotacin CTR define este circuito integrado como un contador. Para el contador 74293,
las entradas MR1 y MR2 son comunes para a todos los flip-flops del contador estas entradas MR1 y
MR2 se muestran como entradas activas en alto combinadas internamente utilizando la operacin la
que esta indicada por la notacin & esto indica que MR1 y MR2 deben encontrarse al mismo
tiempo en estado activo, para reestablecer el contador. La notacin CT = 0 indica que la accin de las
entradas MR es hacer que la cuenta de salida sea igual a cero.
El bloque de en medio esta marcado con la etiqueta DIV 2 para sealar que este es un contador
MOD 2 el cual claro esta es un solo flip-flops DIV 2 significa que el contador dividir la frecuencia de
reloj entre dos. El bloque de la parte inferior esta marcado con la etiqueta DIV 8 para sealar que
este es un contador MOD 8. la anotacin mas sobre cada entrada de reloj indica que la TPN del reloj
ara que el valor de conteo se incremente en uno en otras palabras el contador cuenta en forma
ascendente cada ves que se presenta una TPN. La notacin menos se emplea para el contador
descendente.

9. Contador asncrono CMOS.
En la familia CMOS existen varios contadores asncronos. La mayora son equivalentes a las versiones
TTL. Si embargo existen varios contadores asncronos de CI que no tiene contraparte en la familia
TTL, uno de ellos es 74HC4024. este CI es un contador de 7BIT con una entrada maestra de
reestablecimiento asncrono. Los 7 flip-flops estn conectados internamente como un contador de
rizos MOD 128. La entrada MR es activa en alto y puede emplearse para reiniciar todos los flip-flops
hacia el estado cero.

10. Contador asncrono descendente.
Es relativamente simple construir contadores asncronos descendentes os cuales contaran hacia
abajo desde una cuenta mxima hasta cero. Ahora examinaremos la secuencia de conteo para un
contador de tres BIT






C B A
(7) 1 1 1
(6) 1 1 0
(5) 1 0 1
(4) 1 0 0
(3) 0 1 1
(2) 0 1 0
(1) 0 0 1
(0) 0 0 0
A, B y C representan los estados de salidas del flip-flops cuando el contador recorre su secuencia
puede observarse que el flip-flop A es LSB cambia de estado en cada etapa de la secuencia, como
hace en el contador ascendente. El flip-flops B cambia de estado cada ves que A pasa de bajo a alto;
C cambia de estado cada ves que B pasa de bajo a alto, de este modo en un contado descendente
cada flip-flops, excepto el primero debe complementarse cuando el flip-flops anterior pase de bajo a
alto.
Los contadores descendentes no se utilizan tanto como los ascendentes. Su aplicacin principal es
en situaciones donde debe saberse cuando a ocurrido un numero deseado de pulsos de entrada. En
estas situaciones el contador descendente se prefija al numero deseado y luego se le permite contar
hacia abajo cuando se aplican los pulsos. Cuando el contador llega al estado cero es detectado por
una compuerta lgica cuya salida indica que ha ocurrido el numero prefijado de pulsos.
11. Contadores sncronos paralelos.
El problema que se encuentra en los contadores de rizo es ocasionado por los retrasos acumulados
en la propagacin de los flip-flops dicho de otra manera no todos los flip-flops cambian en sincrona
con los pulsos de entrada. Estas limitaciones pueden superarse con el uso de contadores sncronos o
paralelos, en el que todos los flip flops se disparan en forma simultanea (en paralelo) por medio de
los pulsos de reloj. Ya que los pulsos de entrada se aplican a todos los flip-flops debe utilizase algn
medio para controlar cuando un flip-flops se dispare o permanezca inalterado por un pulso de reloj.
Esto se logra utilizando la entradas J y K que en la siguiente figura para un contador MOD 16 de 4
BIT.

12. Contador sncronos descendentes y ascendentes.
Los contadores ascendentes / descendente (up/down) tambin llamados contadores bidireccionales;
son capaces de avanzar en cualquier sentido a lo largo de una secuencia definida y puede invertir su
conteo en cualquier punto de su secuencia.
En el diagrama lgico se muestra un contador ascendente / descendente sncrono binario de tres
bits diseado a partir de flip-flops J-K en configuracin toggle con disparo por borde de subida.
Debido a que posee tres flip-flops, su ciclo bsico se compone de ocho estados que van desde cero
(000) hasta siete (111) en forma secuencial y repetitiva.

.


13. Contadores con preestablecimiento.
Muchos contadores sncronos(paralelo) que estn disponibles en CI estn diseados para ser
prefijables; en otras palabras se pueden prefija r a cualquier valor inicial de conteo, ya sea en forma
asncrona (independientemente de la seal de reloj) o sncrona ( durante la transicin activa de la
seal de reloj) esta operacin de prefijado tambin se conoce como carga del contador.
14. Preestablecimiento sncrono.
Muchos contadores sncronos paralelos de CI emplean el preestablecimiento sncrono con lo que el
contador es prefijado durante la misma transicin activa de la seal de reloj que se emplea para el
conteo. El nivel lgico aplicado en la entrada determina si transicin activa del reloj ser la que
preestablesca el contador o si esta ser contada, como sucede durante el modo normal de
operacin.
15. Decodificacin de un contador.
Los contadores digitales se utilizan frecuentemente en aplicaciones donde deben determinarse o
exhibirse de alguna manera el conteo representado por los estados de los flip-flops uno de los
medios ms simples para exhibir el contenido de un contador consiste en conectar la salida de cada
flip-flops a un diodo emisor de luz (LED). De esta manera, los estados de los flip-flops estn
representados por los led en forma visible ( encendido = 1, apagado = 0) y el conteo se puede
determinar mentalmente al decodificador los estados binarios de los LED
. Por ejemplo, suponga que este mtodo se ocupa para un contador BCD y los estados de las
lmparas son apagado- encendido -encendido-apagado, respectivamente.esto representara l
numero binario 0110, que decodificaramos mentalmente como el 6 decimal. Otras combinaciones
de estados de los LED representaran los posibles conteos.
El mtodo de LED indicador no es conveniente cuando aumenta el tamao ( numero de BIT) del
contador, porque es muy difcil decodificar mentalmente los resultados. Por esto es conveniente
desarrollar un medio que decodifique electrnicamente el contenido del contador y mostrar los
resultados de inmediato y que no requiera operaciones mentales
16. Descodificacin activa en ALTO
Un contador MOD-X tiene x diferentes estados, cada uno es modelo en particular de ceros y unos
almacenados en los flip-flops del contador. Este sistema es un circuito lgico que genera x diferentes
salidas, las cuales detectan ciertos estados del contador. Las salidas del decodificador pueden
disearse para introducir un nivel alto o uno bajo cuando ocurra la deteccin. Un decodificador
activo alto genera salidas altas para indicar deteccin.
En la figura que veremos a continuacin muestra la lgica completa de descodificacin activa para
un contador MOD-8. este cuenta de 8 compuertas and de 3 entradas, las cuales producen salidas
altas para un estado en particular del contador.
Por ejemplo, la compuerta and 0 tiene como entradas las salidas del flip-flops C, B y A. As su salida
ser baja en todos los tiempos, excepto cuando A =B=C=0, es decir en el conteo 000. anlogamente,
la compuerta and 5 tiene como entradas las salidas del flip-flops C,B y A, donde su salida pasara a
alto solo cuando C = 1, B = 0 y A = 1; es decir, en el conteo de 101 ( 5 decimal ) . el resto de las
compuertas and se comportan de la misma forma para los otros posibles conteos. En cualquier
instante, solamente una compuerta de la salida and es alta, la que se decodifica para la cuenta en
particular esta presente en el contador. Las formas de onda de la figura lo muestran claramente.
Las 8 salidas de and se pueden utilizar para controlar 8 indicadores LED por separado, lo que
representa los nmeros decimales del 0 al 7. solo la lmpara estar indicando el conteo adecuado


17. Descodificacin activa en bajo
Si se emplean compuertas nand en lugar de and, las salidas detectoras producirn una seal alta, la
que pasa abajo solo cuando ocurre l numero que se decodifica. Se utilizan ambos tipos de
decodificadores, dependiendo del tipo de circuitos que sean conducidos por las salidas detectoras
18. Descodificacin del contador BCD.
Este tiene 10 estados y se pueden decodificar usando las tcnicas anteriores. Estos decodificadores
ofrecen 10 salidas que corresponden a los dgitos del 0 al 9 representados por los estados de los flip-
flops del contador. Las 10 salidas se pueden usar para controlar 10 indicadores elctricos LED y tener
una exhibicin visual. Con mayor frecuencia en vez de utilizar 10 lmparas por separado, se utiliza un
solo dispositivo para ver los nmeros del 0 al 9, este se denomina tubo nixie, contiene 10 filamentos
muy delgados que son la forma de cada uno de los nmeros. El decodificador BCD controla que
filamento esta encendido. Una clase ms nueva de dispositivo decimales de exhibicin visual
contiene 7 pequeos segmentos hechos de un material (por lo general dispositivo de cristal liquido o
LED ) que emite luz o reflejan la del medio ambiente. Las salidas del BCD controlan que segmentos
estn encendidos para producir un patrn que represente uno de los dgitos decimales.
20. Decodificaciones de salidas falsas.
Los retrasos entre las transiciones de los flip-flops pueden as mismo ocasionar problemas cuando se
decodifica un contador de rizos. El problema se presenta en la forma de transiciones falsas( glitches)
o espigas en las salidas de algunas de las compuertas de decodificacin. Esto se ilustra en la
siguiente figura para un contador de rizos MOD 4.
Las formas de ondas presentes en las salidas de cada flip-flops y compuertas de decodificacin se
muestran en la figura. Note el retraso de propagacin que ocurre en las formas de onda de reloj y la
salida A y entre las formas de onda A y B. Las transiciones falsas que ocurren en las formas de onda
de decodificacin X0 y X2 son ocasionadas por el retraso entre las formas de onda A y B. X0 es la
salida de la decodificacin de la compuerta and para l conteo normal 00.
La condicin 00 tambin ocurre momentneamente cuando el contador pasa del conteo 01 al 10
como lo demuestra la forma de onda. Esto se debe a que B no cambia de estado sino hasta que A
pasa a bajo. Este estado momentneo 00 solo dura algunos nano segundos pero puede ser
detectado por la compuerta de decodificacin si la respuesta de la compuerta es lo suficientemente
rpida, por ello la espiga en la salida X0.
Una situacin anloga produce una transicin falsa en la salida X2. X2 es la decodificacin para la
condicin 10 y ocurre momentneamente en el instante en que el contador pasa de 11 a 00 en
respuesta al cuarto pulso de reloj, como se muestra en la forma de onda. Una ves ms esto se debe
al retraso de la respuesta del flip-flops B depuse de que A a pasado a bajo.


21. Des habilitacin estroboscpica.
Esta tcnica emplea una seal llamada seal estroboscpica para mantener deshabilitadas las
compuertas and de decodificacin ( salidas en cero), hasta que todos los flip-flops hallan alcanzado
un estado estable en respuesta a la transicin negativa del reloj, esto se ilustra en la figura donde la
seal estroboscpica se conecta como entrada a cada una de las compuertas de descodificacin. Las
formas de onda correspondiente muestran que la seal estroboscpica pasa a bajo cuando el pulso
del reloj pasa a alto. Durante el tiempo en que la seal estroboscpica esta en bajo, las compuertas
de decodificacin se conservan en bajo. La seal estroboscpica se mantiene en bajo. La seal
estroboscpica se va a alto para habilitar las compuertas de decodificacin algn tiempo despus de
que el pulso de reloj se fue a bajo.
El mtodo estroboscpico no se emplea si un contador solamente se utiliza con fines de exhibicin
visual, ya que las espigas en la decodificacin son demasiado angostas para afectar la exhibicin


22. Conexin en cascada de contadores BCD
Los contadores BCD se utilizan siempre que se vayan a contar pulsos y los resultados se exhiban en
forma decimal. Un solo contador BCD puede contar de 0 a 9 y luego regresa a 0.
Para contar nmeros decimales mayores, podemos conectar los BCD en forma de cascada como lo
ilustrar la figura esta configuracin opera como sigue:
0000.
Cuando llegan los pulsos de entrada el contador BCD avanza un conteo por pulso, despus de que
han ocurrido 9 pulsos los contadores BCD de centenas y decenas siguen todava en cero y el
contador de unidades esta en nueve( 1001 binario). De este modo la exhibicin decimal se lee 0009.
salidas que las salidas del flip-flops D vaya de 1 a 0, esta transicin de 1 a 0 acta como la entrada de
reloj para el contador de las decenas y ocasiona que avanc un conteo. As despus de 10 pulsos de
entrada, la lectura decimal es 010.
el contador de unidades se recicla a 0 el contador de las decenas avanza 1 conteo. De este modo
despus de que han ocurrido 99 pulsos de entrada, el contador de las decenas esta en 9, al igual que
el de las unidades. As la lectura decimales 099.
dades se recicla a 0, que a su ves ocasiona que el
contador de las decenas se recicle a 0. as las salidas del flip-flops D del contador de las decenas
realiza una transicin de 1 a 0 que acta como entrada de reloj para el contador de las centenas y
ocasiona que avanc un conteo as despus de 100 pulsos la lectura decimal es 100.












Conclusiones y Recomendaciones:
Los Flip Flops son dispositivos sncronos, de dos estados, tambin conocidos como multivibradores
biestables. Un Flip - Flop se diferencia de un Latch, en la forma en que cambian sus datos de salida,
ya que es un dispositivo controlado por una seal de reloj, en el cual solamente cambiar sus datos
de salida mientras ocurra un flanco de subida o de bajada de una seal de reloj, como lo indica la
entrada dinmica.
Las entradas S y R de un Flip Flop se denominan entradas sncronas, dado que los datos de estas
entradas se transfieren a la salida del Flip-Flop slo con el flanco de disparo del pulso de reloj.
Cuando S est a nivel ALTO, y R est a nivel BAJO, la salida Q se pone a nivel ALTO con el flanco de
disparo del pulso de reloj, pasando el Flip-Flop al estado SET.
Un Flip-Flop tipo D resulta muy til cuando se necesita almacenar un nico bit de datos (1 o 0). Si se
aade un inversor a un Flip-Flop S-R obtenemos un Flip-Flop tipo D bsico.
Un Flip-Flop J-K es verstil y es uno de los tipos de Flip-Flop ms utilizados, el funcionamiento de
este Flip-Flop es idntico al de un S-R en las condiciones de operacin SET, RESET y de permanencia
en estado NO Cambio. La diferencia est en que el Flip-Flop J-K no tiene condicin no vlida como
ocurre en el S-R .
En los Flip-Flops que acabamos de ver, tambin se pueden encontrar con entradas asncronas, estas
son entradas que pueden variar el estado del Flip-Flop independientemente del reloj. Se denominan
de inicializacin (PRE) y borrado (CLR) de activacin directa. Un nivel activo en la entrada de
inicializacin (preset) pone a SET el dispositivo, y un nivel activo en la entrada de borrado (clear) lo
pone en estado RESET.
Si queremos que el Flip-Flop funcione sncronamente, debemos desactivar estas entradas
colocndolas en un nivel ALTO.

Los contadores descendentes que son capaces de medir desde un numero mximo a un mnimo.
Los flip flops paralelos que tienen la capacidad de contar en forma simultanea.
Los ascendentes y descendentes tienen la capacidad de contar en ambos sentidos.
Los contadores con pre establecimiento a estos se les puede fijar cualquier valor inicial de conteo.
La conexin en cascada BCD es la conexin en forma que la informacin que se utiliza para el
segundo flip-flops es sacada del primer, y del tercero del segundo y as sucesivamente. Esto se hace
por que los BCD solo cuentan de 0 a 9, y para valores mayores se conectan en cascada.
Los distintos tipos de contadores como los de anillo y Jonson.

Recomendaciones:
Otra forma es disminuir la cantidad de numero 2N mediante compuertas nand. Los contadores de
dcadas que son todos aquellos que tiene 10 estados.
Para divisores de frecuencias se utilizan los flip flops.
Almacenamiento de datos en paralelo es otra de las aplicaciones mas comunes de flips- flops.

Bibliografa:
http://www.buenastareas.com/ensayos/Flip-Flop/254651.html
http://www.monografias.com/trabajos55/circuitos-logicos-combinacionales/circuitos-logicos-
combinacionales2.shtml
http://es.wikipedia.org/wiki/Biestable
http://es.wikipedia.org/wiki/Biestable
Circuitos Digitales Ing. Richard Bernis 2008-2009

También podría gustarte