Está en la página 1de 21

TRABAJO COLABORATIVO 1

SISTEMAS DIGITALES SECUENCIALES







EDWAR J DOMNGUEZ H
CDIGO: 92033393

SERGIO MARTNEZ
CDIGO: 91540351

SAMIR MARTINEZ
CDIGO: 92526261
MIGUEL GARCIA
CODIGO: 93135731

GRUPO No: 90178_28

CARLOS EMEL RUIZ
TUTOR



UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA UNAD
ESCUELA DE CIENCIAS BSICAS TECNOLOGA E INGENIERA
PROGRAMA DE INGENIERA ELECTRNICA
OCTUBRE 21 2013
INTRODUCCIN


Con la realizacin del presente trabajo colaborativo en el cual realizamos el
desarrollo la primera unidad del curso de Sistemas Digitales Secuenciales.
La importancia de este trabajo porque en el que desarrollamos las actividades, en
la cual estamos presentando un diseo digital muy sencillo en donde utilizamos la
circuitera combinacional y los circuitos secuenciales para el diseo de la estructura,
la cual ha sido realizada con los conocimientos vistos en el estudio de los
contenidos .
El proyecto ha sido diseado segn las pautas estipuladas por la gua de trabajo, su
diseo un pequeo Robot quesea autnomo y pueda cambiar su direccin al
encontrar un obstculo y seguir su marcha utilizando censores o detectores de los
obstculos manipulando para esto dos micro swiches ubicados en la parte frontal y
trasera del vehculo utilizando un circuito reloj o 555 para calcular el tiempo. Quien
junto con un Flip-Flop J K Entre las especificaciones dadas en el trabajo debemos
tener en cuenta principalmente un diagrama de bloque y un diagrama de flujo con el
que se tendr una idea clara de los pasos a seguir.















OBJETIVOS


GENERAL

Realizar el diseo e implementacin y puesta en funcionamiento de un pequeo carro, el
cual debe de estar impulsado por motores DC y sensores que servirn para detectar cuando
este impacte un objeto.





ESPECIFICOS

Lograr el adiestramiento por parte del estudiante en los contenidos del curso.

Realizar el video de la simulacin y del circuito fsico.

Describir el funcionamiento del sistema implementado.







PLANTEAMIENTO DEL PROBLEMA

Ante el desarrollo del curso de sistemas digitales secuenciales y como estudiantes de este
nos comencemos a familiarizar con el contenido del presente curso metodolgico, lo que
nos lleva a profundizar en el estudio de los inicios de los sistemas Digitales. En un nivel
ms avanzado de profundizacin de los contenidos de los sistemas digitales secuenciales,
haciendo nfasis en los distintos tipo de circuitos y montajes que conllevan al xito de la
realizacin las distintas configuraciones y sistemas lgicos las diferentes tipos de
compuertas y los dems componentes que se ven en el desarrollo del mismo.

Problema.

Partiendo de este punto ante el gran auge de la tecnologa elctrica en el campo Digital que
es que nos ha llevado al crecimiento del mismo, surge el Problema de realizacin de una
prctica que incluya los contenidos vistos en el desarrollo de la unidad No 1 con.
La realizacin del diseo e implementacin y puesta en funcionamiento de un
pequeo vehculo, el cual debe de estar impulsado por motores DC y sensores que
servirn para detectar el impacto del vehculo.



Logros
Lograr el Adiestramiento por parte del estudiante en los contenidos del
curso
Familiarizarse con los diferentes componentes electrnicos.

Calificacin de una nota por esta actividad

LISTADO DE MATERIALES Y EQUIPOS

LISTADO DE MATERIALES Y EQUIPOS
DESCRIPCION UND REFERENCIAS
CI 555 1 CI555
FUENTE DC 1 9V DC
CAPACITOR ELC 1 10F
CAPACITOR CER 1 10nF
RESISTENCIA 4 100
RESISTENCIA 1 300K
TRANSISTOR 4 2N3904
DIODO 4 1N4007
LED 2 5 mm
FLIP FLOP 1 CI 74LS 76
COMPURTA AND 1 CI 7408
LOGICS TATE 2
PROTEUS-
lLIVEWIRE
MOTORES DC 2 MOTOR DC
PROTOBOOARD 1
MULTIMETRO 1







DIAGRAMA DE BLOQUES





VARIABLES LGICAS DE ENTRADA Y SALIDA DEL SISTEMA
TABLA DE VERDAD

VARIABLES DE ENTRADA VARIABLE DE SALIDA ESTADO DEL
MOTOR SENSOR A SENSOR B SALIDA Y
0 0 1 AVANCE
0 1 0 RETROCEDER
1 0 0 RETROCEDER
1 1 0 RETROCEDER

Las variables de entrada del sistema, son los dos sensores que se encuentran en la parte
frontal del carro (sensor A y sensor B), estos son los encargados de detectar el impacto o
los impactos con los obstculos, por ser dos variables, estas condicionan el funcionamiento
del carro a cuatro estados, de los cuales tres corresponden a retroceder y uno a avanzar,
determinados por cero (retrocede) y uno lgico (avance).


CONTROL DE TIEMPO DEL MOTOR UTILIZANDO UN 555 MONO ESTABLE

Como conocemos el tiempo que debe retroceder el carro luego de su impacto, se procede a
calcular los valores de C1 y R1, para que el circuito temporizador cumpla con las
especificaciones dadas en la gua.

Clculos para C1 Y R1
Tiempo= 3s
Hacemos, R1= 300K
Se procede calcular el valor de C1.
Ecuacin 1
t =1.1 R
1
C
1

|
Despejando C1 en ecuacin 1
C
1
=
I
1.1
R
1


C
1
=
3s
1.1
(300K)

C
1
=1O F

Diagrama del circuito temporizador.


FUNCIONAMIENTO PUENTE H PARA LOS MOTORES

Un Puente H o Puente en H es un circuito electrnico que permite a un motor elctrico DC
girar en ambos sentidos, avance y retroceso. Son ampliamente usados en robtica y como
convertidores de potencia.


En nuestro circuito utilizamos dos motores DC, los cuales requieren del cambio de
direccin del flujo de corriente a travs de sus bobinas en la secuencia apropiada,
dicha corriente es muy elevada con respecto a lo que un microprocesador puede
soportar, por ello es necesario el uso de Un Puente H para casa bobina del motor,
un puente H es un dispositivo capaz de soportar el flujo bidireccional de corriente
invertida, este circuito electrnico permite a un motor elctrico DC girar en ambos
sentidos, avance y retroceso sin tener que manejar voltajes negativos. Son
ampliamente usados en robtica y como convertidores de potencia. Los puentes H
estn disponibles como circuitos integrados, pero tambin pueden construirse a
partir de componentes discretos.

El trmino "puente H" proviene de la tpica representacin grfica del circuito. Para
la construccin de nuestro puente H utilizamos 4 transistores Q1, Q2, Q3, Q4.
Cuando se cierran los transistores Q1 y Q4, la corriente circulara en un sentido a
travs del motor y en ese entonces T2 y T3 no conducen; si se cierran solamente
Q2 y Q3, la corriente circulara en el sentido contrario hacindolo girar.

Por tanto la tabla de verdad tiene estos datos:
Q1 Q2 Q3 Q4 RESULTADO
1 0 0 1 ADELANTE
0 1 1 0 RETROCESO



--------------------------------------------
-- Carrito Evasor de obstculos--
--------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;

entity compl is
Port ( s_fcc, s_fcd, reset,: in STD_LOGIC;
clk: inout STD_LOGIC;
e1, e2, e3, e4 : out STD_LOGIC);
end compl;

architecture conducir of compl is

constant N : integer := 28; -- tamao de bits para bajar de
frecuencia
signal a: std_logic;
signal q_reg, q_reg1, q_reg2, q_next, q_next1, q_next2 : unsigned
(N-1 downto 0);
signal sel : std_logic_vector (1 downto 0);

begin

process (s_fcc, s_fcd,c,d,i,clk,ss,reset)

begin

if (reset = '0') then
---- GIRA ADELANTE

e1<= '0';
e2<= '1';
e3<= '0';
e4<= '1';

if (ss= '1') then
if (s_fcc = '1' ) then
a<= '1';
if(clk'event and clk = '1' ) then
q_reg <= q_next;
end if;
q_next <= q_reg + 1;
sel <= std_logic_vector(q_reg(N-1 downto N-2));

case sel is
when "00"=>
---- retrocede
e1<= '1';
e2<= '0';

e3<= '1';
e4<= '0';

when "01" =>
--- gira derecha
e1<= '0';
e2<= '1';

e3<= '1';
e4<= '0';

when others =>
---- GIRA ADELANTE
e1<= '0';
e2<= '1';

e3<= '0';
e4<= '1';

end case;

end if;

if (s_fcd = '1') then
a<= '1';
if(clk'event and clk = '1' ) then

q_reg1 <= q_next1;

end if;

q_next1 <= q_reg1 + 1;


sel <= std_logic_vector(q_reg1(N-1 downto N-2));
case sel is
when "00"=>
---- retrocede
e1<= '1';
e2<= '0';

e3<= '1';
e4<= '0';

when "01" =>
--- gira izquierda
e1<= '0';
e2<= '1';

e3<= '1';
e4<= '0';


when "10" =>
---- GIRA ADELANTE
e1<= '0';
e2<= '1';

e3<= '0';
e4<= '1';

q_next1 <= q_reg1;


when others =>

e1<= '0';
e2<= '1';

e3<= '0';
e4<= '1';

end case;
end process;
end conducir;






CIRCUITO Y SIMULACIN DEL SISTEMA




Puesta en marcha: ambos motores giran en el mismo sentido, es decir, el carro avanza.




Ahora si el sensor 1 SW1 es accionado por un obstculo, esto da pie para colocar un alto en la base del transistor Q7 (el cual acta como switch)
interrumpiendo que sigan girando los motores bajo el driver puente H. y la salida del flip flip activa el sentido contrario del puente h.
























DESCRIPCION DEL FUNCIONAMIENTO DEL SISTEMA

El circuito diseado para controlar el estado de los motores que se colocaron al carro,
consta de varias etapas, descritas de la siguiente manera:

*al poner en marcha el circuito el positivo de la fuente llega a la entrada de los puentes H
que permiten el giro del caro en un solo sentido (avance)

* Los dos sensores colocados en la parte frontal del carro, proporcionan las variables de
entrada del sistema, la informacin suministrada por estos, es recibida en forma de pulsos
elctricos a la entrada del monoestable 555

*La configuracin del circuito integrado 555 en funcin mono estable, posee un tiempo de
retardo de tres segundos, que ser lo que tarda el motor en retroceder.
La seal en forma de voltaje sale por el pin tres del 555. En este caso una salida en alto o
un uno lgico, hace que el motor inicie marcha hacia adelante y una salida a nivel bajo o
cero lgico, el motor deber retroceder y cumplidos los tres segundos deber retomar la
marcha hacia adelante.

*La salida del 555 (junto con el retardo) llega a las entradas del flip-flop 74LS76, cuyas
salidas llegan a la compuerta AND que acta como cerrojo y permite la inversin de giro
del motor a travs del puente H, mientras que al otro lo detiene por tener dos entradas
positivas y no un circuito cerrado a tierra.

*Finalizado este ciclo el circuito vuelve a su condicin inicial.





ENLACES VIDEO DE LA SIMULACIN Y DEL CIRCUITO FSICO


Simulacin en proteus.
http://www.youtube.com/watch?v=3zTfTPyl9_8&feature=youtu.be


























Montaje Fsico.
Registro Fotogrfico de Pruebas iniciales sin ruedas













Registro Fotogrfico No 2




















CONCLUSIONES


Haber desarrollado el trabajo colaborativo nmero uno del curso Sistemas Digitales
Secuenciales, permiti profundizar en los temas vistos en la unidad uno del mdulo, donde
se tuvo la oportunidad de llevar a la prctica los temas y conceptos inicialmente estudiados.
Si bien es cierto la complejidad del trabajo solicitado, exigi al grupo a ser innovador y a
que cada integrante tuviera una perspectiva y propuesta diferente de implementar el diseo,
Fue necesario investigar otras fuentes diferentes a las del mdulo para complementar el
estudio y poder construir el circuito.

El circuito diseado fue simulado con resultados exitosos, donde se cumplieron los
objetivos propuestos en la gua y rubica del trabajo. Mas sin embargo dominar la teora de
los circuitos secuenciales, requiere de continuo estudio y prctica.


















REFERENCIAS BIBLIOGRAFICAS


Modulo Sistemas Digitales Secuenciales - 90178.



Circuito 555 en funcin mono estable.
http://picmania.garcia-cuervo.net/electronica_basica_555.php
Consultado el da 1 de Octubre de 2013 de la World Wide Web


Circuito puente H.
http://es.wikipedia.org/wiki/Puente_H_%28electr%C3%B3nica%29
Consultado el da 02 de Octubre de 2013 de la World Wide Web

También podría gustarte