Está en la página 1de 19

INFORME DE PROYECTO CONSTRUCCIN DE UN CONTADOR DE 4 BITS CON FF JK PROFESOR ARTURO RODRIGUEZ

Alvaro Gmez Rubio Ingeniera de ejecucin informtica Santiago, enero de 2013

NDICE

Introduccin Circuitos secuenciales y Flip Flop JK Metodologa Realizacin de modelo terico y simulacin Construccin de prototipo Anexos

3 5 8 10 17 20

INTRODUCCIN

El presente trabajo corresponde al final de la asignatura Tecnologas de Hardware. El objetivo es el diseo y construccin de una simulacin en Logi.cly de un contador digital de 4 bits, basado en dispositivos electrnicos biestables, en particular con flip flops JK y eventualmente, la posterior construccin de un prototipo funcional en protoboard. En electrnica digital, un contador es un circuito secuencial construido a partir de biestables y puertas lgicas capaz de realizar el cmputo de los impulsos que recibe en la entrada destinada a tal efecto, almacenar datos o actuar como divisor de frecuencia. Habitualmente, el cmputo se realiza en cdigo binario. Para los efectos tericos, se realizaron los diagramas y clculos utilizando los conocimientos adquiridos en el curso semestral, apoyndome para su revisin en algunas herramientas automatizadas, como calculadoras de mapas de Karnaught. El desarrollo de la simulacin se realiz basado en los resultados obtenidos, pero se realizaron algunas mejoras a medida que se armaba el diseo prctico, disminuyendo la cantidad de puertas utilizadas, por ejemplo. Con la simulacin funcionando, la confeccin del prototipo fue apoyada por bibliografa encontrada en internet, as como la inestimable ayuda de un tcnico electrnico, quien me apoy para entender como solucionar algunas problemticas presentadas, tales como la necesidad de dos condensadores que regulen la velocidad de repeticin de los pulsos

obtenidos del chip utilizado para tales efectos, la incorporacin de algunas resistencias para evitar que se quemen los leds, etc. Si bien el diseo general hecho en el simulador fue seguido fielmente para la creacin del protoboard, la implementacin incorpor una serie de dificultades propias de las caractersticas fsicas y lgicas de los integrados, siendo necesario recurrir a las respectivas documentaciones, complejizando el producto obtenido, respecto a su versin terica.

CIRCUITOS SECUENCIALES Y FLIP FLOP JK

Son utilizados principalmente en circuitos que requieren algn nivel de almacenamiento de datos, tales como memorias, relojes digitales y otros en formatos binarios, es decir, almacenando unidades discretas de informacin. En resumen, se puede definir un circuito secuencial como un circuito cuya salida depende no solo de la combinacin de entrada, sino tambin de la historia de las entradas anteriores. Un circuito secuencial debe ser capaz de mantener su estado durante algn tiempo, para ello se hace necesario el uso de dispositivos de memoria. Los dispositivos de memoria utilizados en circuitos secuenciales pueden ser tan sencillos como un simple retardador (inclusive, se puede usar el retardo natural asociado a las compuertas lgicas) o tan complejos como un circuito completo de memoria denominado multivibrador biestable o Flip Flop. En consecuencia, en los circuitos secuenciales entra en juego de manera importante el factor tiempo. De hecho, los circuitos secuenciales se clasifican de acuerdo a la manera como manejan el tiempo, separndose en circuitos secuenciales sncronos y circuitos secuenciales asncronos. En un circuito secuencial asncrono, los cambios de estado ocurren al ritmo natural marcado por los retardos asociados a las compuertas lgicas utilizadas en su implementacin, es decir, estos circuitos no usan elementos especiales de memoria, pues se sirven de los retardos propios de las compuertas lgicas usados en ellos. Esta manera de operar puede ocasionar algunos problemas

de funcionamiento, ya que estos retardos naturales no estn bajo el control del diseador y adems no son idnticos en cada compuerta lgica. Los circuitos secuenciales sncronos, slo permiten un cambio de estado en los instantes marcados por una seal de sincronismo de tipo oscilatorio denominada reloj. Con esto se pueden evitar los problemas que tienen los circuitos asncronos originados por cambios de estado no uniformes en todo el circuito. Este es el caso de este proyecto, se trata de un circuito secuencial sncrono, basado en flip flops JK con un generador de pulsos. Este tipo de componentes son llamados biestables, ya que son capaces de almacenar el valor de un bit. El principio de funcionamiento es la realimentacin entre puertas, manteniendo un valor estable hasta que cambien las entradas. Un FF JK est representado por el smbolo

Y la lgica que implementa es la siguiente:

Esta es su tabla de estados:


ENTRADAS Modo de operacin CLK Mantenimiento Reset Set Conmutacin S 0 0 1 1 R 0 1 0 1 Q Q SALIDAS

No cambia 0 1 1 0

Estado opuesto

METODOLOGA

Para el desarrollo del proyecto se separaron las actividades en cuatro tipos: 1) Investigacin 2) Desarrollo terico 3) Desarrollo de modelo simulado 4) Confeccin del prototipo Para efectos de la investigacin, se compilaron los antecedentes entregados por el profesor y se complementaron con los obtenidos en diversas publicaciones electrnicas respecto al clculo de las tablas de verdad y tipos de diseo de artefactos similares. Con lo anterior despejado, proced a la bsqueda de la informacin sobre la manera de trabajar con protoboards y sus caractersticas tcnicas para el correcto desarrollo de un proyecto de este estilo. Posteriormente, se realiz el clculo de las tablas de verdad, a partir de los diferentes valores deseados en el circuito y las tablas de estados de los JK, obtenindose los valores combinacionales para las entradas de cada uno de los componentes del circuito. Esto entreg la informacin necesaria para el desarrollo de la tercera etapa. Consultando modelos definidos para contadores de 2 y 3 bits se escogi un tipo de diseo sencillo de implementar y que a la vez pudiese entenderse de manera directa. Con este criterio en mente se confeccion la simulacin dejando los FF en cascada, agregando un reloj de pulsos, una serie

de 4 leds para exponer el conteo en binario y un par de puertas AND para juntar las seales salientes de componentes en las entradas de los siguientes. Posteriormente, se llev a la realidad el modelo utilizando una serie de circuitos integrados, algunas resistencias, leds (diodos), dos condensadores y cable a granel. Para el armado fue necesario recurrir a un tcnico electrnico que me apoy indicando posibles integrados a usar y sus cdigos, as como en la manera correcta de implementar el reloj de pulsos y el uso del protoboard.

REALIZACIN DE MODELO TERICO Y SIMULACIN

Por tratarse de un contador de 4 bits, la secuencia de conteo ir desde 0 a 15, siendo este el diagrama de los estados posibles de salida del circuito, en formato binario:

0000 1111 0001

1110

0010

1101

0011

1100

0100

1011

0101

1010

0110

1001 1000

0111

Para el clculo de los diferentes estados involucrados se confeccion una tabla que contiene los valores actuales y futuros para los 4 bits. Es importante recordar que una de las caractersticas de estos circuitos secuenciales es justamente que el cambio de estado depende de los valores anteriores que haya tenido, por lo que es importante realizar este ejercicio. De aqu en adelante se denominarn q3, q2, q1 y q0 a cada uno de los bits, de mayor a menos significacin. TABLA DE ESTADOS Estado actual (q) q3 q2 q1 0 0 0 0 0 0 0 0 0 1 0 1 0 1 0 1 1 0 1 0 1 0 1 0 1 1 1 1 1 1 1 1 Estado siguiente (Q) Q3 Q2 Q1 0 0 0 0 0 0 0 1 0 1 0 1 0 1 1 0 1 0 1 0 1 0 1 1 1 1 1 1 1 1 0 0

q0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

Q0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0

La tabla anterior nos proporciona la combinatoria deseada en la salida de cada uno de los FF y los valores que tendran anteriormente. Utilizando la tabla de estados del FF JK podemos determinar los valores a obtener en cada pin del JK, as como los valores a inyectar en ellos. La siguiente tabla muestra el cambio de los biestables (tabla de transiciones) de acuerdo a la tabla de estados previamente calculada. TABLAS DE TRANSICIONES DE LOS FF JK
Estado Actual (q) Estado Siguiente (Q) q2 q1 q0 Q3 Q2 Q1 Q0 q3 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 0 1 1 0 1 1 1 1 0 0 0 0 0 0 1 0 0 1 0 0 1 1 0 1 1 0 1 0 1 0 1 1 0 1 1 1 1 0 1 1 0 0 1 1 0 1 1 0 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 0 0 0 1 Entradas FF JK K2 J1 K1 X 0 X 1 X X X X 0 0 0 1 0 X 1 X X 0 X 1 X X X X 0 0 0 1 0 X 1 X

J3 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 0 0 0 0 0 0 0 1 X X X X X X X X

K3 X X X X X X X X 0 0 0 0 0 0 0 1

J2 0 0 0 1 X X X X 0 0 0 1 X X X X

J0 X X 0 1 X X 0 1 X X 0 1 X X 0 1 1 X 1 X 1 X 1 X 1 X 1 X 1 X 1 X

K0 X 1 X 1 X 1 X 1 X 1 X 1 X 1 X 1

Como se advierte, con esto ya es posible obtener la lgica booleana para cada compuerta, la que se puede simplificar a travs de mapas de Karnaught. A continuacin se explicitan los clculos para cada pin de los 4 JK involucrados.

SIMPLIFICACIN POR KARNAUGHT DE ENTRADAS DE LOS FF JK BIT 0


Estado Actual (q) Entradas FF q2 q1 q0 J0 K0 q3 0 0 0 1 X 0 0 0 1 X 1 0 0 1 0 1 X 0
0 0 0 0 0 1 1 1 1 1 1 1 1

J0 00 q2 q3 01 11 10

q0q1 00 01

11

10 J0=!q0

0 1 1 1 1 0 0 0 0 1 1 1 1

1 0 0 1 1 0 0 1 1 0 0 1 1

1 0 1 0 1 0 1 0 1 0 1 0 1

X 1 X 1 X 1 X 1 X 1 X 1 X

1 X 1 X 1 X 1 X 1 X 1 X 1

K0 00 q2 q3 01 11 10

q0q1 00 01

11

10 K0=q0

BIT 1
Estado Actual (q) Entradas FF q2 q1 q0 J1 K1 q3 0 0 0 0 X 0 0 0 1 1 X 0 0 1 0 X 0 0 0 1 1 X 1 0 1 0 0 0 X 0 1 0 1 1 X 0 1 1 0 X 0 0 1 1 1 X 1 0 0 0 0 0 X 1 0 0 1 1 X 1 0 1 0 X 0 1

J1 00 q2 q3 01 11 10

q0q1 00 01

11

10 J1=!q1q0

K1 00

q0q1 00 01

11

10 K1=q1q0

1 1 1 1 1

0 1 1 1 1

1 0 0 1 1

1 0 1 0 1

X 0 1 X X

1 X X 0 1

q2 q3 J2

01 11 10

BIT 2
Estado Actual (q) Entradas FF q2 q1 q0 J2 K2 q3 0 0 0 0 X 0 0 0 1 0 X 0 0 1 0 0 X 0 0 1 1 1 X 0 1 0 0 X 0 0 1 0 1 X 0 0 1 1 0 X 0 0 1 1 1 X 1 0 0 0 0 0 X 1 0 0 1 0 X 1 0 1 0 0 X 1 0 1 1 1 X 1 1 0 0 X 0 1 1 0 1 X 0 1 1 1 0 X 0 1 1 1 1 X 1 1

q0q1 00 01 00 q2 q3 01 11 10

11

10 J2=!q2q1q0

K2 00 q2 q3 01 11 10

q0q1 00 01

11

10 K2=q2q1q0

BIT 3
Estado Actual (q) Entradas FF q2 q1 q0 J3 K3 q3 0 0 0 0 X 0 0 0 1 0 X 0 0 1 0 0 X 0 0 1 1 0 X 0 1 0 0 0 X 0 1 0 1 0 X 0 1 1 0 0 X 0 1 1 1 1 X 0 0 0 0 X 0 1 0 0 1 X 0 1 0 1 0 X 0 1 0 1 1 X 0 1 1 0 0 X 0 1 1 0 1 X 0 1 1 1 0 X 0 1 1 1 1 X 1 1

J3 00 q2 q3 01 11 10

q0q1 00 01

11

10 J3=!q3q2q1q0

K3 00 q2 q3 01 11 10

q0q1 00 01

11

10 K3=q3q2q1q0

Con los valores obtenidos se realiz una primera versin de la simulacin en Logi.cly, la que posteriormente se optimiz para minimizar la cantidad de componentes utilizados. Si bien se entrega el archivo en un cd adjunto, esta es la imagen del circuito obtenido.

Como se observa, se utiliz una alimentacin directa a los FF, colocando el interruptor en el CLR de los integrados. Tambin se puede advertir que se utilizaron compuertas AND para unificar las salidas de los Q e inyectarlas en los J de cada FF.

CONSTRUCCIN DE PROTOTIPO

Para la construccin del protoboard se utilizaron los siguientes componentes: Un regulador de voltaje L7805 (5V) 6 resistencias de 1 KOhms 1 led amarillo 5 leds rojos 2 Integrados 7476, con dos FF JK cada uno 1 integrado 74LS08 con compuertas AND 1 condensador de 10 uF 1 condensador de 100 uF 1 contador de tiempo LM555 1 protoboard Cable de cobre Los detalles de cada integrado se pueden ver en los anexos. Se comenz conectando el regulador de voltaje y el led amarillo para poder observar si el circuito estaba siendo correctamente alimentado. Luego, se conect la alimentacin al resto del modelo, puenteando hasta el pin 8 del contador de tiempo. Entre los pines 1 y 2 del 555, es decir, entre tierra y el gatillador, se puso el condensador de 100uF, ya que esa capacitancia entrega un tiempo razonable de pulsaciones. Probando con diferentes valores se corrobor que a medida que la capacitancia aumenta, tambin lo hace el tiempo entre cada

pulso. Tambin se puso un condensador de 10uF en el pin de regulacin de voltaje, como medida para minimizar el ruido. Desde el pin 3 del 555 se energizan los relojes de cada uno de los 4 FF, en los pines 1 y 6 de cada 7476. Ya teniendo configurada la alimentacin de los pines CLKs, se procedi a la conexin del reloj con las entradas J y K de cada FF. En el caso de las entradas J se conectaron los pines 4 y 9 y para las entradas K se alimentaron los pines 16 y 12. Los orgenes de las alimentaciones son los mismos que se setearon en la simulacin, en cascada. En el caso de las compuertas AND slo se utilizaron 2 de las 4 que incluye el integrado, siendo alimentadas por los pines 1 y 2, y los 4 y 5. Las salidas correspondientes son los pines 3 y 6. Como se advierte en el modelo simulado, los leds son alimentados directamente por cada salida Q, de acuerdo a las seales que emitan los JK de derecha a izquierda, segn aumenta la significancia de los bits. Entonces, cada diodo recibe alimentacin desde los pines 11 y 15 de cada integrado, respectivamente. El circuito tiene su funcionamiento ptimo con una alimentacin de 9 volts, ya que con voltajes menores que ese se presentaron algunos comportamientos errticos de los FF y con ms altos se corre el riesgo de quemar algunos de los componentes.

Por ltimo, se presenta la imagen de como se ve el circuito funcionando:

También podría gustarte